期刊文献+
共找到30篇文章
< 1 2 >
每页显示 20 50 100
基于UVM验证方法学的AES模块级验证 被引量:15
1
作者 田劲 王小力 《微电子学与计算机》 CSCD 北大核心 2012年第8期86-90,共5页
分析了基于System Verilog语言的UVM(Universal Verification Methodology)高级验证方法学,并使用该方法学对AES(Advanced Encryption Standard)模块进行了功能验证.验证结果表明,此验证平台能够实时监测覆盖率,控制验证进程,优化验证事... 分析了基于System Verilog语言的UVM(Universal Verification Methodology)高级验证方法学,并使用该方法学对AES(Advanced Encryption Standard)模块进行了功能验证.验证结果表明,此验证平台能够实时监测覆盖率,控制验证进程,优化验证事务.该方法提高了验证的效率验和证平台的可重用性,较好地满足了芯片验证需要. 展开更多
关键词 uvm验证方法学 SYSTEM VERILOG AES 随机约束
下载PDF
基于UVM验证方法学的存储转发系统验证 被引量:1
2
作者 庞博 许晏 《太赫兹科学与电子信息学报》 2017年第3期450-454,共5页
针对存储转发系统数据随机性、不同接口之间时序异步的特点,提出了不同于典型平台的事物级数据结构和参考模型设计,构建基于System Verilog语言的通用验证方法学(UVM)的验证平台。验证结果表明,此验证平台能够灵活控制随机约束和验证进... 针对存储转发系统数据随机性、不同接口之间时序异步的特点,提出了不同于典型平台的事物级数据结构和参考模型设计,构建基于System Verilog语言的通用验证方法学(UVM)的验证平台。验证结果表明,此验证平台能够灵活控制随机约束和验证进程,优化验证事务。该平台提高了验证的效率和验证平台的可重用性,较好地满足了超大规模可编程逻辑器件验证需要。 展开更多
关键词 uvm验证方法学 SYSTEM VERILOG语言 存储转发系统 异步系统
下载PDF
应用直接编程接口技术提高片上系统的UVM验证重用性 被引量:4
3
作者 任传宝 崔建国 +2 位作者 鲁迎春 黄正峰 易茂祥 《微电子学与计算机》 2021年第6期20-26,32,共8页
提出一种提高片上系统的UVM验证重用性方案,应用直接编程接口技术,实现通用验证方法学和C语言程序的交互通信.该方法不仅降低了通用验证方法学使用的复杂度,而且使得C语言测试用例可以在不同的测试层次中移植重用,例如C测试代码可以从... 提出一种提高片上系统的UVM验证重用性方案,应用直接编程接口技术,实现通用验证方法学和C语言程序的交互通信.该方法不仅降低了通用验证方法学使用的复杂度,而且使得C语言测试用例可以在不同的测试层次中移植重用,例如C测试代码可以从模块级到片上系统级的重用.以SPI控制器验证本方案,搭建其UVM验证平台,编写大量的UVM和C测试用例,使其功能覆盖率达到100%,并通过虚拟处理器方案保证C测试用例从模块级复用到系统级的可行性.实验过程中激励开发简单且调试方便,可以实现UVM环境和测试用例的重用,从而提高片上系统的UVM验证重用性,达到缩短芯片开发时间的目的. 展开更多
关键词 通用验证方法学(uvm) 重用性 直接编程接口(DPI) 片上系统芯片(SOC) 虚拟处理器
下载PDF
基于C_Model的UVM验证平台设计与实现 被引量:2
4
作者 张静 卜刚 《电子技术应用》 2019年第10期100-104,共5页
随着集成电路规模和复杂度的提高,其验证工作也日益复杂和重要,验证周期己经达到甚至超过整个芯片设计周期的70%,因此,急需找到一种高效的验证方法,以便提高验证效率,增强验证平台的可重用性。基于SystemVerilog语言的UVM验证方法学可... 随着集成电路规模和复杂度的提高,其验证工作也日益复杂和重要,验证周期己经达到甚至超过整个芯片设计周期的70%,因此,急需找到一种高效的验证方法,以便提高验证效率,增强验证平台的可重用性。基于SystemVerilog语言的UVM验证方法学可以有效提高验证效率,缩短验证周期。采用高层次的抽象模型C_Model作为参考模型接入UVM平台,对数字基带处理单元中标签发送链路的编码模块进行验证,设计随机和非随机的testcase,通过driver和monitor验证组件来发送、监测并收集数据,包括硬件设计RTL代码产生的数据和参考模型产生的数据,然后将两数据送入设计的UVM计分板模块进行比对,从而实现对RTL的功能验证,验证系统的优劣可通过功能覆盖率来体现。验证结果表明,UVM计分板中比对正确且功能覆盖率达到了100%。 展开更多
关键词 SYSTEMVERILOG 通用验证方法学(uvm) C_Model 功能覆盖率
下载PDF
基于UVM验证方法学的数字交换芯片验证平台 被引量:9
5
作者 赵赛 闫华 丛红艳 《电子与封装》 2019年第12期36-40,共5页
采用统一验证方法学(universal verification methodology,UVM)搭建验证平台,对数字交换芯片的功能进行验证[1]。由于数字交换芯片的数据处理量较大,验证平台产生受约束的随机激励来验证数字交换芯片的功能,并通过代码覆盖率和功能覆盖... 采用统一验证方法学(universal verification methodology,UVM)搭建验证平台,对数字交换芯片的功能进行验证[1]。由于数字交换芯片的数据处理量较大,验证平台产生受约束的随机激励来验证数字交换芯片的功能,并通过代码覆盖率和功能覆盖率来完善验证用例。仿真结果表明,通过该验证平台验证数字交换芯片的功能正确,功能覆盖率达到100%,并通过机台测试。 展开更多
关键词 数字交换芯片 验证 统一验证方法学(uvm)
下载PDF
UVM验证方法在机载电子硬件中的应用分析
6
作者 田毅 金志威 +1 位作者 范毓洋 王鹏 《航空电子技术》 2018年第A01期20-24,共5页
UVM验证方法广泛应用于通用电子领域,而其是否同样适用于航空等高安全领域成为适航审定局方和工业方需要解决的问题。说明了UVM验证方法的特性及验证机制,分析了适航标准中的相关要求及考虑,并给出了机载电子硬件研制过程使用UVM验... UVM验证方法广泛应用于通用电子领域,而其是否同样适用于航空等高安全领域成为适航审定局方和工业方需要解决的问题。说明了UVM验证方法的特性及验证机制,分析了适航标准中的相关要求及考虑,并给出了机载电子硬件研制过程使用UVM验证方法的相关建议,以推广UVM验证方法。 展开更多
关键词 通用验证方法学(uvm) 航空电子 适航审定
下载PDF
基于UVM的AHB总线SRAM控制器设计和验证 被引量:1
7
作者 梁光胜 李朝洋 +1 位作者 梁兆楷 杨松 《集成电路应用》 2023年第6期51-53,共3页
阐述AHB总线的SARM控制器运行原理和特点,以System Verilog为验证语言,VCS和DVE为仿真软件,搭建了基于UVM的通用验证平台,针对待测模块设计随机化测试用例,给出基于UVM的AHB总线SRAM控制器的验证结果,检测UVM验证平台的重用性、可移植... 阐述AHB总线的SARM控制器运行原理和特点,以System Verilog为验证语言,VCS和DVE为仿真软件,搭建了基于UVM的通用验证平台,针对待测模块设计随机化测试用例,给出基于UVM的AHB总线SRAM控制器的验证结果,检测UVM验证平台的重用性、可移植性和可靠性。 展开更多
关键词 uvm验证方法 AHB总线 静态随机存取存储器 System Verilog VCS
下载PDF
一种带Cache加速的HyperRAM控制器设计与验证
8
作者 邹敏 鲁澳宇 +1 位作者 邹望辉 喻华 《现代电子技术》 北大核心 2024年第6期91-96,共6页
针对目前可穿戴设备上对存储设备性能要求高、体积小、功耗低等问题,在FPGA上实现了一款可拓展的高性能HyperRAM控制器,并引入Cache缓存加速设计,以提高对频繁访问数据的命中率和优化存储器访问模式,实现更高速的数据传输和优化的系统... 针对目前可穿戴设备上对存储设备性能要求高、体积小、功耗低等问题,在FPGA上实现了一款可拓展的高性能HyperRAM控制器,并引入Cache缓存加速设计,以提高对频繁访问数据的命中率和优化存储器访问模式,实现更高速的数据传输和优化的系统性能。运用UVM验证方法学和FPGA进行验证,结果表明,带有Cache缓存的HyperRAM控制器相较于普通HyperRAM,在读写连续地址时性能提高61%,并具有较好的可靠性与有效性,可为嵌入式系统提供高效、灵活的存储器解决方案。 展开更多
关键词 HyperRAM控制器 Cache缓存 可穿戴设备 存储器 uvm验证方法学 FPGA
下载PDF
基于UVM的PCIe桥接芯片验证平台设计 被引量:1
9
作者 王清源 高振斌 杨晓龙 《微电子学与计算机》 2023年第5期104-111,共8页
RapidIO协议是一种针对高性能嵌入式系统需求而设计的包交换互联协议,PCIe(Peripheral Component Interconnect express)是一种高速串行计算机扩展总线标准,能够提供点对点双通道高带宽传输.现有的国产CPU均不支持RapidIO接口,只能通过P... RapidIO协议是一种针对高性能嵌入式系统需求而设计的包交换互联协议,PCIe(Peripheral Component Interconnect express)是一种高速串行计算机扩展总线标准,能够提供点对点双通道高带宽传输.现有的国产CPU均不支持RapidIO接口,只能通过PCIe转RapidIO桥接芯片才可以连接到交换网络中,研制国产化PCIe桥接芯片对国产CPU的推广具有重要意义.通过在传统UVM(Universal Verification Methodology)架构的基础上进行优化,在计分板(Scoreboard)中采用基于单描述符实时比对的方法,比对数据改为从PCIe VIP(Verification Intellectual Property)的数据链路层中选取,使BDMA(Block Direct Memory Access)引擎的内存占用率减小了30%,验证平台总仿真时间缩短了25%;采用寄存器模型自动化集成的方法,对寄存器进行前门和后门交叉访问,可对寄存器的属性和初始值进行快速验证,使寄存器的总验证时间降为原来的20%,并且正确率可达95%以上,该方法特别适用于对同一寄存器各位域属性不同的寄存器验证;对代码覆盖率进行了收集,达到了覆盖100%的预期要求,该平台可用于数字芯片的验证. 展开更多
关键词 通用验证方法学(uvm) 数字芯片 寄存器模型 覆盖率 PCIe桥接芯片
下载PDF
基于UVM的存储控制器功能验证 被引量:7
10
作者 曹阳 胡越黎 《计算机测量与控制》 2015年第3期834-837,共4页
采用通用验证方法学(UVM)搭建验证平台,以AHB总线上挂载的存储控制器为验证对象,重点分析了UVM验证平台的设计;采用传统的定向验证方法将很难遍历到所有情况,而通过UVM验证平台能够产生受约束的随机激励信号,对存储控制器进行全面的验证... 采用通用验证方法学(UVM)搭建验证平台,以AHB总线上挂载的存储控制器为验证对象,重点分析了UVM验证平台的设计;采用传统的定向验证方法将很难遍历到所有情况,而通过UVM验证平台能够产生受约束的随机激励信号,对存储控制器进行全面的验证,并能自动收集功能覆盖率和分析验证结果;验证结果表明,该验证平台能有效地查出设计缺陷,达到覆盖率要求,减少验证时间,提高验证效率,且具有良好的可配置性和可复用性。 展开更多
关键词 uvm验证方法学 存储控制器 受约束的随机化激励 功能覆盖率
下载PDF
基于UVM的报文验收滤波模块验证方法
11
作者 史雷萌 左石凯 +3 位作者 黄新栋 吕鑫 周犇 叶圣哲 《厦门理工学院学报》 2023年第3期17-21,共5页
针对当前芯片验证平台搭建速度慢和验证覆盖率收集困难的问题,提出一种基于通用验证方法学(UVM)的报文验收滤波模块验证方法。该方法利用Python脚本语言搭建UVM平台框架结构,引入随机事件种子并结合可约束随机测试技术收集验证覆盖率,... 针对当前芯片验证平台搭建速度慢和验证覆盖率收集困难的问题,提出一种基于通用验证方法学(UVM)的报文验收滤波模块验证方法。该方法利用Python脚本语言搭建UVM平台框架结构,引入随机事件种子并结合可约束随机测试技术收集验证覆盖率,实现报文验收滤波模块的验证。测试结果表明,该方法收集模块功能的验证覆盖率为100%,与Verilog语言搭建的验证平台相比,代码数据量减少94%,缩短验证平台搭建时间。 展开更多
关键词 滤波模块 验证方法 通用验证方法学(uvm) Python脚本 重用性 覆盖率
下载PDF
基于UVM的CPU卡芯片验证平台 被引量:6
12
作者 钱一文 景为平 蒋斌 《微电子学与计算机》 CSCD 北大核心 2016年第6期37-40,共4页
介绍了一种基于UVM(Universal Verification Methodology)验证方法学的验证平台.该验证平台是针对基于自主知识产权的国产MCU C0的CPU卡芯片的功能验证需求所搭建的.该验证平台采用面向对象的层次化的建模方法,完成了符合ISO14443协议... 介绍了一种基于UVM(Universal Verification Methodology)验证方法学的验证平台.该验证平台是针对基于自主知识产权的国产MCU C0的CPU卡芯片的功能验证需求所搭建的.该验证平台采用面向对象的层次化的建模方法,完成了符合ISO14443协议的验证事务,通用功能验证组件以及设计参考模型的建模;能实时监测设计中信号的变化,能实现在验证过程中验证结果的自动对比,能根据覆盖率调整验证的进程.验证结果表明,该验证平台具有复用性,提升了芯片验证的效率和可靠性. 展开更多
关键词 uvm验证方法学 CPU卡芯片 SYSTEM VERILOG 功能验证
下载PDF
基于UVM的浮点功能部件验证 被引量:3
13
作者 吴升光 羊箭锋 冯春阳 《微电子学与计算机》 CSCD 北大核心 2017年第4期121-125,130,共6页
为了对复杂浮点运算单元进行功能验证,设计并实现了一种基于UVM(Universal Verification Methodology)方法的验证平台.该平台集成了一套高效的浮点数产生机制,将浮点用例的求解转化为连分式的求解,拓宽了传统浮点用例的边界定义,同时也... 为了对复杂浮点运算单元进行功能验证,设计并实现了一种基于UVM(Universal Verification Methodology)方法的验证平台.该平台集成了一套高效的浮点数产生机制,将浮点用例的求解转化为连分式的求解,拓宽了传统浮点用例的边界定义,同时也调用了基于C语言的参考模型来自检计算结果,并结合FCC(Fast Coverage Convergence)技术,加快了覆盖率收敛.应用结果表明,此验证平台能够对浮点运算单元各功能进行高效验证,极大地减少验证时间,且平台内嵌的浮点数产生器也能够移植到其他浮点功能验证平台. 展开更多
关键词 浮点运算单元 uvm验证平台 中间结果约束 浮点数生成
下载PDF
基于UVM实现SM4算法的自动化验证平台 被引量:2
14
作者 马盼 靳旭 《铁路通信信号工程技术》 2020年第8期33-37,共5页
在轨道交通安全通信系统中,为提升系统性能并降低软件复杂度,CPLD/FPGA和定制芯片等硬件产品得到广泛应用,逻辑功能仿真验证是保证上述硬件产品质量的重要环节。以SM4加解密算法模块为验证对象,采用SystemVerilog语言构建基于UVM的自动... 在轨道交通安全通信系统中,为提升系统性能并降低软件复杂度,CPLD/FPGA和定制芯片等硬件产品得到广泛应用,逻辑功能仿真验证是保证上述硬件产品质量的重要环节。以SM4加解密算法模块为验证对象,采用SystemVerilog语言构建基于UVM的自动化验证平台。区别于传统的验证方法,该平台采用随机测试向量并利用DPI接口内嵌C函数模型,实现仿真数据自动化实时监控,避免单纯用人工检查,有效提高仿真验证效率。 展开更多
关键词 uvm验证 直接编程接口 仿真
下载PDF
基于UVM的Arinc-429协议验证方法 被引量:1
15
作者 王新 徐炀 张少华 《江苏科技信息》 2017年第2期58-59,共2页
文章采用UVM通用验证方法学搭建验证平台,以Arinc-429协议为验证对象,重点分析了基于UVM的Arinc-429验证平台设计;通过UVM验证平台能够产生随机约束的激励信号,对Arinc-429协议进行更全面的验证。结果表明,基于UVM的验证平台可以实现Ari... 文章采用UVM通用验证方法学搭建验证平台,以Arinc-429协议为验证对象,重点分析了基于UVM的Arinc-429验证平台设计;通过UVM验证平台能够产生随机约束的激励信号,对Arinc-429协议进行更全面的验证。结果表明,基于UVM的验证平台可以实现Arinc-429协议的验证,并具有良好的可配置性和可复用性。 展开更多
关键词 uvm验证平台 Arinc-429 随机激励
下载PDF
基于UVM的1000BASE-T图像传输系统的验证
16
作者 王百慧 《传感器技术与应用》 2022年第2期138-145,共8页
随着网络通信技术的发展,千兆以太网凭借可靠性高且传输速率快等特点,从而受到广泛的关注。图像的采集和传输也随之成为重要的发展方向,本文对1000BASE-T图像传输系统模块的功能进行分析和测试,并采用UVM (Universal Verification Metho... 随着网络通信技术的发展,千兆以太网凭借可靠性高且传输速率快等特点,从而受到广泛的关注。图像的采集和传输也随之成为重要的发展方向,本文对1000BASE-T图像传输系统模块的功能进行分析和测试,并采用UVM (Universal Verification Methodology)通用验证方法学进行验证,搭建一个可重用性的验证平台,可重用性体现在从模块级到系统级的重用、不同测试环境的重用、不同项目之间的重用等。提出对图像传输系统的功能进行回归测试,最终以代码覆盖率、功能覆盖率、断言覆盖率结果达到100%为验收目标,即可确定该验证方法的有效性和完备性。 展开更多
关键词 千兆以太网 uvm验证方法学 可重用性 功能覆盖率 断言覆盖率
下载PDF
RFID数字控制器的UVM功能覆盖率验证
17
作者 何冬明 《中国集成电路》 2015年第3期74-78,共5页
介绍了RFID数字控制器的UVM(Universal Verification Methodolody)验证平台,以及功能覆盖率验证所涉及详尽的测试计划、覆盖率组和覆盖率属性、覆盖率分析和测试用例更新等。验证结果表明,此验证平台能够实现随机约束激励、监控和收敛... 介绍了RFID数字控制器的UVM(Universal Verification Methodolody)验证平台,以及功能覆盖率验证所涉及详尽的测试计划、覆盖率组和覆盖率属性、覆盖率分析和测试用例更新等。验证结果表明,此验证平台能够实现随机约束激励、监控和收敛覆盖率,提高了验证的效率和可重用性,较好地满足了芯片验证需要。 展开更多
关键词 功能覆盖率 RFID数字控制器 uvm验证方法学 SYSTEMVERILOG 随机约束测试
下载PDF
基于UVM的总线仲裁模块的验证研究
18
作者 袁儒明 陈迎春 +1 位作者 汪杨 陈绍辉 《电子制作》 2021年第13期90-92,共3页
基于UVM的基础上,本文重点研究了验证的可重用方法。重用性体现在验证组件横向的重用以及验证场景的继承、验证序列的重用实现。本文搭建了UVM验证环境,基于UVM的可重用性,对总线仲裁模块进行功能验证,以及对总线冲裁模块和主设备模块... 基于UVM的基础上,本文重点研究了验证的可重用方法。重用性体现在验证组件横向的重用以及验证场景的继承、验证序列的重用实现。本文搭建了UVM验证环境,基于UVM的可重用性,对总线仲裁模块进行功能验证,以及对总线冲裁模块和主设备模块进行整体模块的功能验证。通过对验证组件的重用,代码重用率达到85%以上,使得验证周期缩短,验证效率得到提高。 展开更多
关键词 uvm验证方法学 验证组件 可重用性
下载PDF
基于UVM的AXI4-Stream可重用验证平台设计 被引量:5
19
作者 徐春琳 倪伟 宋宇鲲 《合肥工业大学学报(自然科学版)》 CAS 北大核心 2020年第12期1639-1645,共7页
文章针对传统寄存器传输级代码验证平台重用性差、覆盖率低以及自动化程度低等问题,利用通用验证方法学(universal verification methodology,UVM)设计了一个支持多AXI4-Stream设备互联结构验证的通用AXI4-Stream验证平台;设计了受约束... 文章针对传统寄存器传输级代码验证平台重用性差、覆盖率低以及自动化程度低等问题,利用通用验证方法学(universal verification methodology,UVM)设计了一个支持多AXI4-Stream设备互联结构验证的通用AXI4-Stream验证平台;设计了受约束随机测试、基础测试及直接测试3种测试用例,并采用功能覆盖率模型自动统计功能覆盖率。仿真结果表明,该验证平台功能覆盖率达到100%,具有良好的可配置性与可重用性,可显著提高验证效率。 展开更多
关键词 通用验证方法学(uvm) AXI4-Stream验证平台 可重用性
下载PDF
基于UVM的可重用SoC功能验证环境 被引量:9
20
作者 吕毓达 谢雪松 张小玲 《半导体技术》 CAS CSCD 北大核心 2015年第3期234-238,共5页
现在系统级芯片(So C)系统集成度和复杂度不断提高,验证环节消耗时间占用了芯片研发时间的70%,芯片验证已经成为芯片研发中最关键的环节。目前业界验证方法大多有覆盖率低和通用性差等缺点,基于上述原因提出了一种新的验证方法。与传统... 现在系统级芯片(So C)系统集成度和复杂度不断提高,验证环节消耗时间占用了芯片研发时间的70%,芯片验证已经成为芯片研发中最关键的环节。目前业界验证方法大多有覆盖率低和通用性差等缺点,基于上述原因提出了一种新的验证方法。与传统验证方法和单纯的通用验证方法学(UVM)不同,该方法结合系统级芯片验证和模块级验证的特点,并且融合UVM和知识产权验证核(VIP)模块验证的验证技术,且使用了So C系统功能仿真模型以提高验证覆盖率和准确性。验证结果表明,同一架构系列So C芯片可以移植于该验证平台中,并且可大幅缩短平台维护与开发时间,采用该验证方法的代码覆盖率为98.9%,功能覆盖率为100%。 展开更多
关键词 通用验证方法学(uvm) CPU功能模型 随机测试向量 系统级芯片验证 系统级芯片(SoC)
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部