期刊文献+
共找到3,592篇文章
< 1 2 180 >
每页显示 20 50 100
基于VHDL语言的序列发生器设计
1
作者 焦鹏 邓正万 《数字技术与应用》 2023年第1期171-173,共3页
在介绍了序列信号发生器的基础上,给出了利用VHDL语言设计的具体方法。结合MAX+PLUS II的波形仿真功能,验证设计的正确性。1序列信号发生器简介数字信号采用二值信息“0”和“1”来表示两个相对的状态,如脉冲的有、无或电平的高、低。例... 在介绍了序列信号发生器的基础上,给出了利用VHDL语言设计的具体方法。结合MAX+PLUS II的波形仿真功能,验证设计的正确性。1序列信号发生器简介数字信号采用二值信息“0”和“1”来表示两个相对的状态,如脉冲的有、无或电平的高、低。例如:若用“1”表示高电平,则“0”可表示低电平;若用“0”表示有脉冲,则“1”可表示无脉冲。数字电路中,“0”和“1”仅表示两种相对独立的状态,没有数值上的大小概念,在实际应用中,两个相对独立的状态可用电子器件的开关特性来实现,就是利用二极管、三极管、场效应管等元器件的开关特性,如完全导通表示一种状态,完全截止表示另一种状态。因此,数字电路的传输与信号处理无论在电路结构还是研究内容、分析方法均与模拟电路不同。 展开更多
关键词 波形仿真 数字电路 开关特性 vhdl语言 序列信号发生器 场效应管 模拟电路 数字信号
下载PDF
用VHDL语言在CPLD/FPGA上实现浮点运算 被引量:11
2
作者 沈明发 易清明 +1 位作者 黄伟英 周伟贤 《暨南大学学报(自然科学与医学版)》 CAS CSCD 2002年第5期19-24,共6页
 介绍了用VHDL语言在硬件芯片上实现浮点加/减法、浮点乘法运算的方法,并以Altera公司的FLEX10K系列产品为硬件平台,以MaxplusII为软件工具,实现了6点实序列浮点加/减法运算和浮点乘法运算.
关键词 超高速集成电路硬件描述语言 vhdl 浮点运算 复杂可编程逻辑器件 CPLD/FPGA 现场可编程门阵列 数字信号处理
下载PDF
基于VHDL的故障注入技术 被引量:7
3
作者 曾宪炼 马捷中 +1 位作者 任向隆 何世强 《计算机工程》 CAS CSCD 北大核心 2010年第11期244-246,249,共4页
对基于VHDL的故障注入技术进行研究,阐述基于仿真命令、"破坏"和"突变"的故障注入技术原理,以8051处理器为注入对象,实现3种故障注入技术。对3种技术的特性进行比较分析。对于仿真命令方式,研究信号操作和变量操作... 对基于VHDL的故障注入技术进行研究,阐述基于仿真命令、"破坏"和"突变"的故障注入技术原理,以8051处理器为注入对象,实现3种故障注入技术。对3种技术的特性进行比较分析。对于仿真命令方式,研究信号操作和变量操作2种方式,针对变量操作方式提出可行的实现方法。对于"破坏"方式,通过对"串行破坏"和"并行破坏"的分析比较,证明前者更具实用价值。对于"突变"方式,介绍不同的实现方法,提出优化的设计方案。 展开更多
关键词 vhdl语言 故障注入 仿真命令 破坏 突变
下载PDF
基于VHDL的有限状态机描述及综合 被引量:8
4
作者 孔健 杨洪斌 +1 位作者 吴悦 唐毅 《计算机工程》 CAS CSCD 北大核心 2003年第15期82-83,143,共3页
介绍了使用VHDL描述有限状态机的方法,重点分析了综合过程中的难点并提供了解决方法。最后以乘法电路为例实现了可综合的FSM描述并通过门级仿真验证正确性。
关键词 vhdl 有限状态机 综合 乘法电路
下载PDF
基于VHDL技术实现视频采集处理器的控制 被引量:5
5
作者 田雁 曹剑中 +2 位作者 许朝晖 李变霞 刘莹 《光子学报》 EI CAS CSCD 北大核心 2006年第8期1276-1279,共4页
针对目前视频图像采集技术中图像采样控制复杂,应用不灵活的问题,基于现有视频采样芯片SAA7111,提出一种采用VHDL技术来模拟实现I2C总线接口的方法,控制视频采集处理器实现视频图像采集.实验证明,I2C总线控制SAA7111采样图像数据正确、... 针对目前视频图像采集技术中图像采样控制复杂,应用不灵活的问题,基于现有视频采样芯片SAA7111,提出一种采用VHDL技术来模拟实现I2C总线接口的方法,控制视频采集处理器实现视频图像采集.实验证明,I2C总线控制SAA7111采样图像数据正确、稳定.该方法具有非常好的可移植性. 展开更多
关键词 I2C总线 vhdl 视频采集 SAA7111
下载PDF
基于VHDL之CPU设计与实践 被引量:13
6
作者 徐爱萍 张玉萍 涂国庆 《实验室研究与探索》 CAS 北大核心 2014年第5期120-124,共5页
随着大规模可编程逻辑器件的发展,EDA技术已成为硬件系统电路设计的重要手段。基于EDA技术的计算机组成原理整机实习通常在Quartus II仿真平台上实现。早期均采用Block Diagram的设计方式,虽然设计过程直观,但仿真调试困难,难以完全调... 随着大规模可编程逻辑器件的发展,EDA技术已成为硬件系统电路设计的重要手段。基于EDA技术的计算机组成原理整机实习通常在Quartus II仿真平台上实现。早期均采用Block Diagram的设计方式,虽然设计过程直观,但仿真调试困难,难以完全调试成功。本文研究了基于VHDL的灵活方便的CPU设计过程,该设计由取指、指令译码、指令执行、存储器接口、通用寄存器组和寄存器输出六个组成部分,最后通过调试软件直接观察寄存器的值来验证了设计的准确性。本研究方法对改革该课程的整机实习,发挥学生的主动能动性,提高学生的自主创造能力具有很好的指导意义和实际参考价值。 展开更多
关键词 计算机组成原理 CPU设计 整机实习 vhdl
下载PDF
VHDL在数字集成电路设计中的应用 被引量:10
7
作者 韩进 程勇 齐现英 《山东科技大学学报(自然科学版)》 CAS 2003年第4期74-77,共4页
概述了数字集成电路设计的发展趋势;分析了VHDL的特点;结合实例介绍了VHDL在数字集 成电路设计中的应用方法。
关键词 vhdl 数字集成电路 电路设计 硬件描述语言 现场可编程门阵列 FPGA 现场可编程逻辑器件 FPLD
下载PDF
SDRAM控制器的设计与VHDL实现 被引量:19
8
作者 田丰 邓建国 +1 位作者 李巍 贾治华 《电子技术应用》 北大核心 2005年第2期74-77,共4页
介绍了SDRAM的存储体结构、主要控制时序和基本操作命令,并且结合实际系统,给出了一种用FPGA实现的通用SDRAM控制器的方案。
关键词 SDRAM控制器 vhdl 命令 FPGA实现 通用 存储 时序 方案 基本操作
下载PDF
基于VHDL语言的LMS自适应滤波器的硬件实现方法 被引量:6
9
作者 李国峰 吴岳 秦世才 《南开大学学报(自然科学版)》 CAS CSCD 北大核心 2002年第3期82-86,共5页
提出了一种 LMS数字自适应滤波器的硬件实现方法 ,就是用 VHDL语言描述设计文件 ,在 ALTERA公司的 ACEX系列芯片上实现自适应滤波器 ,在 Maxplus2上进行了模拟仿真和时序分析 ,并给出了该算法在MATLAB上的计算结果 .
关键词 LMS自适应滤波器 vhdl语言 ACEX系列芯片 MATLAB FPGA方法 LMS自适应算 硬件设计
下载PDF
VHDL高级综合系统设计中某些关键问题的技术决策 被引量:13
10
作者 刘明业 张东晓 许庆平 《计算机学报》 EI CSCD 北大核心 1997年第6期501-509,共9页
本文的研究实现了从电路系统行为(含算法及功能)的VHDL描述到RTL和逻辑结构级描述的高级综合,并针对XilinxFPGA文件库映射成工艺相关的ASIC,直至生成FPGA的器件.整个系统包括七个部分,本文重点讨论每个... 本文的研究实现了从电路系统行为(含算法及功能)的VHDL描述到RTL和逻辑结构级描述的高级综合,并针对XilinxFPGA文件库映射成工艺相关的ASIC,直至生成FPGA的器件.整个系统包括七个部分,本文重点讨论每个部分的技术决策以及在SUNSPARC2上实现的运行结果. 展开更多
关键词 高级综合 vhdl 数据流 控制流 工艺映射 ASIC
下载PDF
基于VHDL的有限状态机设计 被引量:13
11
作者 宋泽明 陈文楷 《北京工业大学学报》 CAS CSCD 北大核心 2005年第1期21-24,共4页
通过2种状态机的设计方法比较,说明使用VHDL语言设计出稳定、可靠的有限状态机的方法是有效 的和实用的.由于两者对状态寄存器值的不同处理方法,使得状态机在采用不同编码方法时可靠性出现差异. 针对此问题提出的状态机设计方法很好的... 通过2种状态机的设计方法比较,说明使用VHDL语言设计出稳定、可靠的有限状态机的方法是有效 的和实用的.由于两者对状态寄存器值的不同处理方法,使得状态机在采用不同编码方法时可靠性出现差异. 针对此问题提出的状态机设计方法很好的解决了这个问题,保证采用常用编码方法进行状态机编码时,不管采 用何种状态机的设计方法,状态机都会处于稳定、可靠状态. 展开更多
关键词 有限状态机 vhdl 编码 独热码
下载PDF
计数器的VHDL设计与实现 被引量:7
12
作者 王凤英 崔国玮 +1 位作者 邸建红 颉新春 《现代电子技术》 2007年第9期114-116,共3页
介绍了具有使能和清零作用的一位十六进制计数器的组成及其工作原理,论述了基于VHDL语言和FPGA芯片的数字系统的设计思想和实现过程,应用Max+PlusⅡ10.1工具软件和EDA实验箱实现了计数器的功能。通过对设计结果的系统仿真波形分析,验证... 介绍了具有使能和清零作用的一位十六进制计数器的组成及其工作原理,论述了基于VHDL语言和FPGA芯片的数字系统的设计思想和实现过程,应用Max+PlusⅡ10.1工具软件和EDA实验箱实现了计数器的功能。通过对设计结果的系统仿真波形分析,验证了计数器设计的正确性。 展开更多
关键词 vhdl FPGA 计数器 分频器 数码管
下载PDF
IC设计中的VHDL语言应用研究 被引量:13
13
作者 冯江 王晓燕 +1 位作者 谢旭红 卢宏 《微计算机信息》 北大核心 2006年第01Z期249-251,共3页
对VHDL语言的优点进行了分析,并探讨了在IC设计开发过程中VHDL语言的应用技巧。
关键词 vhdl IC设计 硬件描述语言 PLD/FPGA 优化
下载PDF
VHDL语言在数字电路中的设计与应用 被引量:6
14
作者 沈小丽 潘兰芳 +1 位作者 李敏 李青 《现代电子技术》 2005年第12期93-95,共3页
根据教学实践,介绍了VHDL硬件描述语言进行工程设计的优点。他既是一种与实际技术相独立的语言,不束缚于某一特定的模拟程序或数字装置上,也不把设计方法强加于设计者,他允许设计者在其使用范围内选择工艺和方法,描述能力极强,覆盖了逻... 根据教学实践,介绍了VHDL硬件描述语言进行工程设计的优点。他既是一种与实际技术相独立的语言,不束缚于某一特定的模拟程序或数字装置上,也不把设计方法强加于设计者,他允许设计者在其使用范围内选择工艺和方法,描述能力极强,覆盖了逻辑设计的诸多领域和层次,并支持众多的硬件模型;也是一种在数字电路教学中全新的理论联系实际的教学方法和全新的培养学生实际动手能力的有效工具。同时简要地说明VHDL硬件描述语言的支撑软件Max+PlusⅡ。并结合实例详细阐明VHDL语言在Max+PlusⅡ软件的环境下对数字电路的设计、应用方法及使用时需注意的几个方面事项。 展开更多
关键词 vhdl Max+Plus 器件配王 EDA
下载PDF
用VHDL-AMS进行概念设计 被引量:5
15
作者 叶以正 肖立伊 李滨 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2000年第11期830-834,共5页
VHDL- AMS是 VHDL 向模拟和混合信号领域的扩展 .VHDL- AMS为设计者提供了在概念级处理复杂系统的能力 .随着 VHDL- AMS的标准化 ,将诞生处理复杂的模拟和混合信号模型的有效的模拟器 .文中介绍了VHDL- AMS模拟扩展的主要内容 ,展示了... VHDL- AMS是 VHDL 向模拟和混合信号领域的扩展 .VHDL- AMS为设计者提供了在概念级处理复杂系统的能力 .随着 VHDL- AMS的标准化 ,将诞生处理复杂的模拟和混合信号模型的有效的模拟器 .文中介绍了VHDL- AMS模拟扩展的主要内容 ,展示了一个混合模式模拟环境 ,并给出了模拟解算器的构成 ,讨论了连续和离散模拟的同步问题 ;用 4个例子说明 VHDL - 展开更多
关键词 概念设计 vhdl-AMS vhdl语言
下载PDF
VHDL语言行为描述划分的研究与实现 被引量:5
16
作者 牛振东 宋瀚涛 刘明业 《计算机学报》 EI CSCD 北大核心 1995年第11期801-807,共7页
硬件描述语言行为级划分的处理对象是行为级的硬件描述,其结果可以用来指导综合中数据通路的设计实现及后续综合过程.本文研究VHDL语言行为描述划分的内容和系统设计方法,提出了一种类层次可变权的VHDL语言行为划分算法,该... 硬件描述语言行为级划分的处理对象是行为级的硬件描述,其结果可以用来指导综合中数据通路的设计实现及后续综合过程.本文研究VHDL语言行为描述划分的内容和系统设计方法,提出了一种类层次可变权的VHDL语言行为划分算法,该算法将单级层次划分算法与多级层次划分算法的思想统一到一种算法中;针对行为划分的目标特点本文在划分因素评估中提出了规模因素的思想. 展开更多
关键词 vhdl语言 行为描述划分 硬件描述语言
下载PDF
高速CCD数字相机接口设计的VHDL逻辑综合的应用 被引量:5
17
作者 沈宇键 郝胜国 郝志航 《光学精密工程》 EI CAS CSCD 2000年第2期154-160,共7页
运用 VHDL语言完成了高速 CCD数字相机接口设计的描述。整个接口电路由 Lattice的 1 K系列CPLD构成。 VHDL语言与 CPLD的结合使用 ,使接口电路设计的灵活性大大增强 ,某些传统上由硬件电路实现的功能转变为软件参与实现 ,设计者能够在... 运用 VHDL语言完成了高速 CCD数字相机接口设计的描述。整个接口电路由 Lattice的 1 K系列CPLD构成。 VHDL语言与 CPLD的结合使用 ,使接口电路设计的灵活性大大增强 ,某些传统上由硬件电路实现的功能转变为软件参与实现 ,设计者能够在电路工作过程中对设计随时进行修改。给出了部分接口设计的 VHDL源代码描述 ,通过逻辑综合优化了设计 ,实现了设计的时序仿真 ,分析了 VHDL语言的可综合性问题 ,并对 VHDL语言的逻辑综合中的一些问题做了探讨。 展开更多
关键词 vhdl 逻辑综合 高速CCD数字相机 接口设计
下载PDF
基于CPLD和VHDL的一种线阵CCD驱动时序电路的设计与实现 被引量:6
18
作者 赵春晖 刘会 梁刚键 《应用科技》 CAS 2005年第11期4-6,共3页
CCD作为一种应用广泛的新型半导体光电器件,驱动时序电路的实现是其应用的关键问题,运用VHDL硬件描述语言,结合复杂可编程逻辑器件CPLD,完成了对CCD的驱动时序电路的设计,给出了部分VHDL语言源代码,利用MAX+plusⅡ软件实现了时序... CCD作为一种应用广泛的新型半导体光电器件,驱动时序电路的实现是其应用的关键问题,运用VHDL硬件描述语言,结合复杂可编程逻辑器件CPLD,完成了对CCD的驱动时序电路的设计,给出了部分VHDL语言源代码,利用MAX+plusⅡ软件实现了时序仿真,讨论了VHDL语言设计中的一些问题。 展开更多
关键词 CPLD vhdl CCD 驱动时序电路 时序仿真
下载PDF
使用VHDL设计基于CPLD/FPGA逆变电源的PWM波形 被引量:13
19
作者 刘松 熊腊森 余爱民 《焊接学报》 EI CAS CSCD 北大核心 2002年第6期89-91,共3页
介绍了使用VHDL设计基于CPLD/FPGA逆变电源PWM驱动波形的优点 ,并详细地探讨了PWM波形的设计原理 ,提出了三种程序设计的方案 ,并给出了实时性最好的基于ROM结构的PWM波形的VHDL程序。设计的程序经过Active -VHDL仿真器仿真。结果表明 ... 介绍了使用VHDL设计基于CPLD/FPGA逆变电源PWM驱动波形的优点 ,并详细地探讨了PWM波形的设计原理 ,提出了三种程序设计的方案 ,并给出了实时性最好的基于ROM结构的PWM波形的VHDL程序。设计的程序经过Active -VHDL仿真器仿真。结果表明 :使用VHDL设计PWM波形 ,控制精度高 ,可以通过改变CPLD/FPGA外接的晶振的频率来提高占空比的调节精度 ,而且 ,控制方式灵活 ,能够调整功率开关管的死区时间 ,保护开关管安全工作 ,易于实现数字化的PI。 展开更多
关键词 非常高速集成电路硬件描述语言 脉冲宽度调制 逆变电源 仿真
下载PDF
一种基于VHDL的细分与辨向电路的设计 被引量:4
20
作者 陆原 王娜 李新玲 《河北大学学报(自然科学版)》 CAS 北大核心 2009年第1期90-94,共5页
细分与辨向是光栅、编码器、激光干涉类仪器等这类长度、位置、位移检测仪器中对原始信号处理的一个必需环节.随着新技术新器件的发展,细分与辨向也出现了一些新的方法.将对2种常用的细分与辨向方法作一下分析,并着重介绍一种基于VHDL... 细分与辨向是光栅、编码器、激光干涉类仪器等这类长度、位置、位移检测仪器中对原始信号处理的一个必需环节.随着新技术新器件的发展,细分与辨向也出现了一些新的方法.将对2种常用的细分与辨向方法作一下分析,并着重介绍一种基于VHDL语言的、采用RTL描述方式的细分与辨向电路的设计与实现. 展开更多
关键词 光栅 莫尔条纹 干涉条纹 细分与辨向 vhdl语言
下载PDF
上一页 1 2 180 下一页 到第
使用帮助 返回顶部