期刊文献+
共找到5篇文章
< 1 >
每页显示 20 50 100
基于VHDL-AMS的结型场效应管行为建模与仿真 被引量:1
1
作者 高献伟 冼立勤 +1 位作者 耿彦明 赵成 《实验室研究与探索》 CAS 2006年第6期604-607,共4页
提出一种基于SPICE模型半导体器件的VHDL-AMS行为建模方法,给出了结型场效应管模型中温度效应、噪声、直流和电容方程的行为模型,最后以N沟道结型场效应管共源极放大电路为例在混合信号仿真器SMASH5.5中验证了模型的正确性。
关键词 结型场效应管 SPICE vhdlams 行为建模
下载PDF
电荷泵锁相环的VHDL-AMS行为建模与仿真
2
作者 林伟松 秦华标 《微计算机信息》 北大核心 2008年第23期143-145,共3页
片上系统SOC是集成电路IC设计的一个重要方向。越来越多的SOC集成有模拟和数字设计。VHDL-AMS语言为模拟和混合信号系统提供了一种统一的建模仿真方法。本文在详细分析电荷泵锁相环结构的基础上,建立了一个完整的电荷泵锁相环AMS模型。... 片上系统SOC是集成电路IC设计的一个重要方向。越来越多的SOC集成有模拟和数字设计。VHDL-AMS语言为模拟和混合信号系统提供了一种统一的建模仿真方法。本文在详细分析电荷泵锁相环结构的基础上,建立了一个完整的电荷泵锁相环AMS模型。仿真结果表明本文所建立的模型能准确反映出实际锁相环的有关特性。 展开更多
关键词 锁相环 vhdlams 建模 仿真 行为级
下载PDF
VHDL-AMS在控制系统分析与设计中的应用 被引量:1
3
作者 董普松 《现代电子技术》 2008年第17期120-121,126,共3页
硬件描述语言VHDL在现代电子系统设计中应用广泛,但VHDL只能用于设计数字系统。具有混合信号系统描述能力的硬件描述语言VHDL-AMS是目前电子设计技术的新发展,能实现数字系统和模拟系统设计方法的统一,目前仍处于研究阶段。介绍VHDL-AM... 硬件描述语言VHDL在现代电子系统设计中应用广泛,但VHDL只能用于设计数字系统。具有混合信号系统描述能力的硬件描述语言VHDL-AMS是目前电子设计技术的新发展,能实现数字系统和模拟系统设计方法的统一,目前仍处于研究阶段。介绍VHDL-AMS的新特性,通过对PID控制原理进行数学分析,建立PID控制器的VHDL-AMS模型,并进行仿真分析。可以看出,应用VHDL-AMS使得控制系统的建模和仿真分析更加简捷有效,因此具有良好的应用前景。 展开更多
关键词 硬件描述语言 混合信号系统 vhdlams PID
下载PDF
MOS管短沟道效应及其行为建模 被引量:2
4
作者 冼立勤 高献伟 《实验室研究与探索》 CAS 2007年第10期14-16,共3页
随着集成电路工艺的不断革新,集成电路器件的尺寸不断减小,当MOS管的尺寸小到一定程度时,会出现短沟道效应,此时MOS管特性与通常相比有很大不同。本文介绍了描述短沟道MOS管特性的一些公式,然后利用硬件描述语言VHDL-AMS对短沟道MOS管... 随着集成电路工艺的不断革新,集成电路器件的尺寸不断减小,当MOS管的尺寸小到一定程度时,会出现短沟道效应,此时MOS管特性与通常相比有很大不同。本文介绍了描述短沟道MOS管特性的一些公式,然后利用硬件描述语言VHDL-AMS对短沟道MOS管进行了行为建模,并利用混合信号仿真器SMASH5.5对模型进行了仿真,将短沟道MOS管模型特性与一般模型特性作了比较。 展开更多
关键词 vhdlams 短沟道效应 MOS管 行为建模
下载PDF
一种Boost开关变换器的建模方法和仿真验证 被引量:1
5
作者 强凯 《信息通信》 2018年第10期285-286,共2页
Boost开关变换器在当今电子领域应用越来越广泛,IC器件在流片投产之前的建模及仿真验证越来越受到行业重视和认可。文章首先分析了Boost变换器的拓扑结构和工作原理,然后应用VHDL-AMS语言在Systemvision仿真环境下对Boost开关变换器进... Boost开关变换器在当今电子领域应用越来越广泛,IC器件在流片投产之前的建模及仿真验证越来越受到行业重视和认可。文章首先分析了Boost变换器的拓扑结构和工作原理,然后应用VHDL-AMS语言在Systemvision仿真环境下对Boost开关变换器进行建模与仿真,验证了模型的正确性和有效性,同时也给出了一种运用拓扑结构和数模混合语言(VHDL-AMS)建模的方法。 展开更多
关键词 建模 仿真验证 BOOST vhdl.ams
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部