期刊文献+
共找到15篇文章
< 1 >
每页显示 20 50 100
两种硬件描述语言VHDL/Verilog的发展及其应用 被引量:12
1
作者 罗杰 康华光 《电气电子教学学报》 2002年第4期1-5,共5页
首先简要介绍了两种 HDL( Hardware Description L anguage)的发展和内容 ,叙述了 HDL 语言的主要特点 ,然后就 HDL 的设计流程作较详细的讨论 ,附有 HDL的设计举例。
关键词 Vhdl veriloghdl eda
下载PDF
用Verilog HDL进行FPGA设计的原则与方法 被引量:10
2
作者 祁晓磊 蔡学良 孙德玮 《电子测试》 2008年第3期67-71,共5页
Verilog HDL是目前较流行的一种硬件描述语言,在FPGA设计中有着广泛的应用。本文首先介绍了Verilog HDL语言的特点以及用其进行FPGA硬件开发的原则,然后在熟悉FPGA的硬件结构原理的基础上,遵循FPGA设计流程,以分频器和状态机为例,分别... Verilog HDL是目前较流行的一种硬件描述语言,在FPGA设计中有着广泛的应用。本文首先介绍了Verilog HDL语言的特点以及用其进行FPGA硬件开发的原则,然后在熟悉FPGA的硬件结构原理的基础上,遵循FPGA设计流程,以分频器和状态机为例,分别讨论了组合逻辑电路和时序逻辑电路各自的特点及其设计输入方法;最后结合FPGA的硬件特点,分析了将用Verilog HDL语言设计的电路的进行综合与设计优化并最终实现为硬件电路的方法。 展开更多
关键词 FPGA Vefilog hdl eda
下载PDF
基于Verilog HDL出租车计费系统的研制
3
作者 高健 刘向峰 +1 位作者 赖谨 戴路红 《实验室研究与探索》 CAS 2004年第10期49-52,共4页
以上海地区的出租车计费器为例,利用VerilogHDL语言设计了出租车计费器,使其具有时间显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示了硬件描述语言Verilog-HDL设计数字逻辑电路的优越... 以上海地区的出租车计费器为例,利用VerilogHDL语言设计了出租车计费器,使其具有时间显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示了硬件描述语言Verilog-HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS 软件调试、优化,下载到EPF10K10TC144-3芯片中,可应用于实际的出租车收费系统。 展开更多
关键词 verilog hdl MAX%PLUS%PLUS
下载PDF
Verilog HDL数字电路的设计 被引量:6
4
作者 邓云祥 孟劲松 苏燕辰 《中国测试技术》 2005年第3期103-104,123,共3页
VerilogHDL(硬件描述语言)是目前世界上使用最广泛的符合IEEE标准的硬件描述语言之一,在数字系统设计的仿真和综合领域中有着强大的发展潜力。本文介绍了硬件描述语言VerilogHDL的特点和使用方法,并通过一个实例——自动售饮料机的程序... VerilogHDL(硬件描述语言)是目前世界上使用最广泛的符合IEEE标准的硬件描述语言之一,在数字系统设计的仿真和综合领域中有着强大的发展潜力。本文介绍了硬件描述语言VerilogHDL的特点和使用方法,并通过一个实例——自动售饮料机的程序的应用,展现了VerilogHDL在数字电路设计上的优越性。 展开更多
关键词 CPLD/FPGA verilog hdl
下载PDF
用Verilog HDL开发生物芯片的探讨
5
作者 张基温 黄可望 《江南大学学报(自然科学版)》 CAS 2003年第6期585-588,592,共5页
为了降低生物芯片的制作成本,使其能批量生产,可采用一种优秀的硬件描述语言Ver ilogHDL进行开发 用VerilogHDL开发生物芯片,根据自身的设计思路形式化抽象表示电路的结构和行为能提高电路设计效率、缩短芯片制作周期、降低芯片的制作成... 为了降低生物芯片的制作成本,使其能批量生产,可采用一种优秀的硬件描述语言Ver ilogHDL进行开发 用VerilogHDL开发生物芯片,根据自身的设计思路形式化抽象表示电路的结构和行为能提高电路设计效率、缩短芯片制作周期、降低芯片的制作成本,并为大规模批量生产提供有利的条件。 展开更多
关键词 verilog硬件描述语言
下载PDF
用Verilog-HDL设计数字逻辑系统 被引量:5
6
作者 马朝 李颖 杨明 《计算机工程》 CAS CSCD 北大核心 2000年第12期110-112,共3页
介绍硬件描述语言Verilog-HDL。通过与传统的数字逻辑系统的设计方法进行比较,展现了硬件描述语言Verilog-HDL设计数字逻辑电路的优越性。
关键词 verilog-hdl
下载PDF
基于Verilog HDL的洗衣机控制电路设计
7
作者 杨凯钰 王颖 《信息与电脑》 2021年第2期151-152,共2页
随着人们的生活水平提高,人们对洗衣机的需求越来越大,因此洗衣机的市场逐步扩大,洗衣机行业竞争也愈演愈烈。在此背景下,本文以Verilog HDL为基础实现了以洗衣机控制电路为核心,结合所需的外围电路,共同对洗衣机的工作状态进行自由控制。
关键词 verilog hdl
下载PDF
P/T系统的VHDL描述及实现
8
作者 陆继远 《计算机工程》 CAS CSCD 北大核心 2011年第21期252-254,共3页
利用超高速集成电路硬件描述语言(VHDL)描述P/T系统,在EDA软件平台MAX+plusII上,对Petri网模型的VHDL描述进行编译、仿真、适配,将结果下载到可编程逻辑器件中,通过实验开发系统GW48-CK进行硬件测试。给出一个P/T系统实例——服务系统... 利用超高速集成电路硬件描述语言(VHDL)描述P/T系统,在EDA软件平台MAX+plusII上,对Petri网模型的VHDL描述进行编译、仿真、适配,将结果下载到可编程逻辑器件中,通过实验开发系统GW48-CK进行硬件测试。给出一个P/T系统实例——服务系统的描述及实现。仿真波形及硬件测试结果证明了该方法的正确性。 展开更多
关键词 PETRI P/T
下载PDF
CPLD通用写入器设计与开发 被引量:2
9
作者 邱明明 《微计算机信息》 北大核心 2007年第20期186-187,194,共3页
可编程逻辑器件(Programmable Logic Device,简称PLD)是20世纪70年代发展起来的一种新型逻辑器件,它是现代数字电子系统向超高集成度、超低功耗、超小型封装和专用化方向发展的重要基础。它的应用和发展不仅简化了电路设计,降低了成本,... 可编程逻辑器件(Programmable Logic Device,简称PLD)是20世纪70年代发展起来的一种新型逻辑器件,它是现代数字电子系统向超高集成度、超低功耗、超小型封装和专用化方向发展的重要基础。它的应用和发展不仅简化了电路设计,降低了成本,提高了系统的可靠性和保密性,而且给数字系统的设计方法带来了革命性的变化。CPLD(Complex Programmable Logic Device),即复杂可编程逻辑器件,它是20世纪90年代初期出现的EPLD改进器件。同EPLD相比,CPLD增加了内部连线,对逻辑宏单元和I/O单元也有重大的改进。Xilinx是世界上最大的可编程逻辑器件供应商之一,FPGA的发明者。产品种类较全,主要有:XC9500/4000,Coolrunner(XPLA3),Spartan,Vertex。在本文中,我们将通过对CPLD的发展、结构、应用和设计等方面的认知,了解CPLD的基本原理,并设计出CPLD脱机编程写入器的电路图。 展开更多
关键词 CPLD(Complex PROGRAMMABLE logic Device) FPGA(Field PROGRAMMABLE logic Array) 电子设计自动化eda(Electronic Design Automation) 硬件描述语言hdl(hardware description language)
下载PDF
EDA技术及其应用
10
作者 谢华燕 《甘肃高师学报》 2009年第5期63-65,共3页
随着大规模集成电路技术和计算机技术的不断发展,电子技术得到了飞速发展,电子设计自动化(E-DA)技术,加速了现代高科技发展的进程.从微电子技术、微电脑技术到信息技术等领域,EDA技术的含量正以惊人的速度上升,在电子系统设计上产生了... 随着大规模集成电路技术和计算机技术的不断发展,电子技术得到了飞速发展,电子设计自动化(E-DA)技术,加速了现代高科技发展的进程.从微电子技术、微电脑技术到信息技术等领域,EDA技术的含量正以惊人的速度上升,在电子系统设计上产生了具有里程碑意义的飞跃,为大规模可编程逻辑器件的应用奠定了基础. 展开更多
关键词 eda技术 hdl硬件描述语言 PLD
下载PDF
硬件描述语言(HDL)与逻辑系统设计 被引量:3
11
作者 黄轶岭 江桦 《信息工程学院学报》 1998年第3期41-44,共4页
本文介绍了当前流行的两种硬件描述语言———VHDL和VerilogHDL。给出了利用硬件描述语言进行逻辑系统设计的流程和方法,与传统设计方法进行了比较。
关键词 eda hdl
下载PDF
基于硬件描述语言ABEL-HDL实现组合逻辑电路的探讨
12
作者 黄晓明 《湖北教育学院学报》 2007年第2期28-31,共4页
随着科学技术的发展,硬件描述语言HDL已经成为现代EDA技术的重要组成部分。硬件工程师们也常利用计算机这个工具在EDA软件平台上用硬件描述语言完成数字系统的设计。这种“自顶向下由概念驱动工程”的新型设计理念极大地提高了硬件工程... 随着科学技术的发展,硬件描述语言HDL已经成为现代EDA技术的重要组成部分。硬件工程师们也常利用计算机这个工具在EDA软件平台上用硬件描述语言完成数字系统的设计。这种“自顶向下由概念驱动工程”的新型设计理念极大地提高了硬件工程师们的设计效率、缩短了产品的研发周期。本文将探讨硬件描述语言ABEL-HDL的知识要点和学习难点,并通过应用实例讨论使用ABEL-HDL语言对几种典型的组合逻辑电路的设计方法。 展开更多
关键词 ABEL—hdl
下载PDF
一种具有自校准、自控制功能的I^(2)C接口电路
13
作者 郑双双 刘兴辉 +2 位作者 张文婧 张建龙 尹飞飞 《合肥工业大学学报(自然科学版)》 CAS 北大核心 2023年第5期641-645,共5页
文章提出一种在开漏模式下通过硬件自检测、自校准实现高性能I^(2)C(inter-integrated circuit)接口的设计,并给出一种I^(2)C接口自控制实现开漏功能的方法。在传统I^(2)C接口电路的基础上,增加了自检测拉低时钟总线并进行自校准的功能... 文章提出一种在开漏模式下通过硬件自检测、自校准实现高性能I^(2)C(inter-integrated circuit)接口的设计,并给出一种I^(2)C接口自控制实现开漏功能的方法。在传统I^(2)C接口电路的基础上,增加了自检测拉低时钟总线并进行自校准的功能,使得在开漏模式下,硬件能够自动检测到时钟总线在上拉过程中的低电平并进行自校准高电平,在改善通信稳定性的基础上实现了性能提升。考虑到不同的应用场合,增加了开漏使能控制电路,为提高IP的可移植性,I^(2)C接口可自控制开漏功能,支持软件配置,灵活地应用于各种通用输入输出(general-purpose input/output,GPIO)模型中。成品开发板电路测试表明,在系统时钟为120 MHz时,该电路在开漏模式下高速通信中的位速率高达5.98 Mbit/s,在推挽模式下超快速通信中的位速率高达30.00 Mbit/s。 展开更多
关键词 I^(2)C verilog hdl语言
下载PDF
高频地波雷达VXI总线接口电路的设计 被引量:2
14
作者 陈泽宗 杨子杰 柯亨玉 《武汉大学学报(理学版)》 CAS CSCD 北大核心 2004年第3期379-383,共5页
按照模块化和标准化的要求,提出用CPLD和双口RAM来实现一种通用的寄存器基VXI接口电路的设计方案,使之满足基于VXI总线高频地波雷达所有模件的接口要求.具体描述了该接口的设计方法、主要的逻辑及时序关系,采用有限状态机并利用VHDL语... 按照模块化和标准化的要求,提出用CPLD和双口RAM来实现一种通用的寄存器基VXI接口电路的设计方案,使之满足基于VXI总线高频地波雷达所有模件的接口要求.具体描述了该接口的设计方法、主要的逻辑及时序关系,采用有限状态机并利用VHDL语言和原理图输入的混合设计成功实现了"双向中断、全双工"的块数据传输方式.它具有通信速率高、灵活性好、调试方便等特点.实际运行表明,该接口电路工作正常可靠. 展开更多
关键词 VXI线
下载PDF
可编程逻辑器件设计新思路 被引量:1
15
作者 刘达 龚建荣 《微电子技术》 2003年第1期23-27,共5页
可编程逻辑器件在集成电路的发展中占有重要地位。深亚微米与超深亚微米技术的发展使可编程逻辑器件向系统级可编程芯片转移。本文详细阐述了基于IP的系统级可编程芯片的设计策略。
关键词 PLD
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部