期刊文献+
共找到15篇文章
< 1 >
每页显示 20 50 100
Effects of Dummy Thermal Vias on Interconnect Delay and Power Dissipation of Very Large Scale Integration Circuits
1
作者 XU Peng PAN Zhongliang 《Wuhan University Journal of Natural Sciences》 CAS CSCD 2018年第5期438-446,共9页
The interconnect temperature of very large scale integration(VLSI) circuits keeps rising due to self-heating and substrate temperature, which can increase the delay and power dissipation of interconnect wires. The t... The interconnect temperature of very large scale integration(VLSI) circuits keeps rising due to self-heating and substrate temperature, which can increase the delay and power dissipation of interconnect wires. The thermal vias are regarded as a promising method to improve the temperature performance of VLSI circuits. In this paper, the extra thermal vias were used to decrease the delay and power dissipation of interconnect wires of VLSI circuits. Two analytical models were presented for interconnect temperature, delay and power dissipation with adding extra dummy thermal vias. The influence of the number of thermal vias on the delay and power dissipation of interconnect wires was analyzed and the optimal via separation distance was investigated. The experimental results show that the adding extra dummy thermal vias can reduce the interconnect average temperature, maximum temperature, delay and power dissipation. Moreover, this method is also suitable for clock signal wires with a large root mean square current. 展开更多
关键词 very large scale integration (VLSI) circuits interconnect temperature interconnect delay thermal vias interconnect power dissipation
原文传递
Reduced bit low power VLSI architectures for motion estimation
2
作者 Shahrukh Agha Shahid Khan +1 位作者 Shahzad Malik Raja Riaz 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 2013年第3期382-399,共18页
Low power and real time very large scale integration (VLSI) architectures of motion estimation (ME) algorithms for mobile devices and applications are presented. The power reduction is achieved by devising a novel... Low power and real time very large scale integration (VLSI) architectures of motion estimation (ME) algorithms for mobile devices and applications are presented. The power reduction is achieved by devising a novel correction recovery mechanism based on algorithms which allow the use of reduced bit sum of absolute difference (RBSAD) metric for calculating matching error and conversion to full resolution sum of absolute difference (SAD) metric whenever necessary. Parallel and pipelined architectures for high throughput of full search ME corresponding to both the full resolution SAD and the generalized RBSAD algorithm are synthe- sized using Xilinx Synthesis Tools (XST), where the ME designs based on reduced bit (RB) algorithms demonstrate the reduction in power consumption up to 45% and/or the reduction in area up to 38%. 展开更多
关键词 motion estimation (ME) very large scale integration (VLSI) reduced bit sum of absolute difference (RBSAD).
下载PDF
Architectural Design of 32 Bit Polar Encoder
3
作者 G. Indumathi V. P. M. B. Aarthi Alias Ananthakirupa M. Ramesh 《Circuits and Systems》 2016年第5期551-561,共11页
The rapid development in the digital circuit design enhances the applications on very large scale integration era. Encoders are one among the digital circuits found in all communication systems. The polar encoding is ... The rapid development in the digital circuit design enhances the applications on very large scale integration era. Encoders are one among the digital circuits found in all communication systems. The polar encoding is mainly meant for its channel achieving property. It finds its application in communications, sensing and information theory. This coding proposed by Erdal Arikan is significant because of its zero error floors and simple architecture for hardware implementation. In this paper, a folded polar encoder is designed to start from the fully parallel architecture and proceeds with its data flow graph, delay requirement calculation, lifetime analysis and register allocation, which results in a very large scale integration architecture with minimum hardware utilization. The results are simulated for 4 and 8 parallel folded 32-bit polar encoder using Xilinx 14.6 ISIM and implemented in Virtex 5 field programmable gate array. A comparison is made on fully parallel and various folding techniques based on their resource utilization. 展开更多
关键词 Polar Encoder FOLDING very large scale integration (VLSI) Architecture Field Programmable Gate Array (FPGA)
下载PDF
Design and Implementation of an Efficient Reversible Comparator Using TR Gate
4
作者 Subramanian Saravanan Ila Vennila Sudha Mohanram 《Circuits and Systems》 2016年第9期2578-2592,共15页
Reversible logic is a new emerging technology with many promising applications in optical information processing, low power (Complementary Metal Oxide Semiconductor) CMOS design, (De Oxy RiboNucleic Acid) DNA computin... Reversible logic is a new emerging technology with many promising applications in optical information processing, low power (Complementary Metal Oxide Semiconductor) CMOS design, (De Oxy RiboNucleic Acid) DNA computing, etc. In industrial automation, comparators play an important role in segregating faulty patterns from good ones. In previous works, these comparators have been implemented with more number of reversible gates and computational complexity. All these comparators use propagation technique to compare the data. This will reduce the efficiency of the comparators. To overcome the problem, this paper proposes an efficient comparator using (Thapliyal Ranganathan) TR gate utilizing full subtraction and half subtraction algorithm which will improve the computation efficiency. The comparator design using half subtraction algorithm shows an improvement in terms of quantum cost. The comparator design using full subtraction algorithm shows effectiveness in reducing number of reversible gates required and garbage output. 展开更多
关键词 Reversible Logic Gates Reversible Logic Circuits (very large scale integration) VLSI Design
下载PDF
ASIC Design of Floating-Point FFT Processor 被引量:2
5
作者 陈禾 赵忠武 《Journal of Beijing Institute of Technology》 EI CAS 2004年第4期389-393,共5页
An application specific integrated circuit (ASIC) design of a 1024 points floating-point fast Fourier transform(FFT) processor is presented. It can satisfy the requirement of high accuracy FFT result in related fields... An application specific integrated circuit (ASIC) design of a 1024 points floating-point fast Fourier transform(FFT) processor is presented. It can satisfy the requirement of high accuracy FFT result in related fields. Several novel design techniques for floating-point adder and multiplier are introduced in detail to enhance the speed of the system. At the same time, the power consumption is decreased. The hardware area is effectively reduced as an improved butterfly processor is developed. There is a substantial increase in the performance of the design since a pipelined architecture is adopted, and very large scale integrated (VLSI) is easy to realize due to the regularity. A result of validation using field programmable gate array (FPGA) is shown at the end. When the system clock is set to 50 MHz, 204.8 μs is needed to complete the operation of FFT computation. 展开更多
关键词 application specific integrated circuit(ASIC) fast Fourier transform(FFT) FLOATING-POINT PIPELINE very large scale integrated(VLSI)
下载PDF
RF-TSV DESIGN, MODELING AND APPLICATION FOR 3D MULTI-CORE COMPUTER SYSTEMS
6
作者 Yu Le Yang Haigang Xie Yuanlu 《Journal of Electronics(China)》 2012年第5期431-444,共14页
The state-of-the-art multi-core computer systems are based on Very Large Scale three Dimensional (3D) Integrated circuits (VLSI). In order to provide high-speed vertical data transmission in such 3D systems, efficient... The state-of-the-art multi-core computer systems are based on Very Large Scale three Dimensional (3D) Integrated circuits (VLSI). In order to provide high-speed vertical data transmission in such 3D systems, efficient Through-Silicon Via (TSV) technology is critically important. In this paper, various Radio Frequency (RF) TSV designs and models are proposed. Specifically, the Cu-plug TSV with surrounding ground TSVs is used as the baseline structure. For further improvement, the dielectric coaxial and novel air-gap coaxial TSVs are introduced. Using the empirical parameters of these coaxial TSVs, the simulation results are obtained demonstrating that these coaxial RF-TSVs can provide two-order higher of cut-off frequencies than the Cu-plug TSVs. Based on these new RF-TSV technologies, we propose a novel 3D multi-core computer system as well as new architectures for manipulating the interfaces between RF and baseband circuit. Taking into consideration the scaling down of IC manufacture technologies, predictions for the performance of future generations of circuits are made. With simulation results indicating energy per bit and area per bit being reduced by 7% and 11% respectively, we can conclude that the proposed method is a worthwhile guideline for the design of future multi-core computer ICs. 展开更多
关键词 Three Dimensional (3D) very large scale Integrated circuits (VLSI) Ratio Frequency (RF) Through-Silicon Vias (TSVs) Multi-core computer technology
下载PDF
Effect of electric field on metallic SWCNT interconnects for nanoscale technologies 被引量:2
7
作者 Harsimran Kaur Karamjit Singh Sandha 《Journal of Semiconductors》 EI CAS CSCD 2015年第3期92-98,共7页
The influence of an electric field on metallic single walled carbon nanotube (SWCNT) interconnects is studied. A voltage-dependent equivalent circuit model is presented for the impedance parameters of single-wall ca... The influence of an electric field on metallic single walled carbon nanotube (SWCNT) interconnects is studied. A voltage-dependent equivalent circuit model is presented for the impedance parameters of single-wall carbon nanotubes that capture various electron-phonon scattering mechanisms as a function of the electric field. To estimate the performance of SWCNT bundle interconnects, signal delay and power dissipation are calculated based on the field dependent model that results in an improvement in the delay and power estimation accuracy compared to the field-independent model. We find that the power delay product of a SWCNT bundle increases with the increase in electric field but decreases with technology scaling showing that at a low electric field, the SWCNT bundle is a potential reliable alternative interconnect for future high performance VLSI industry at scaled technologies. 展开更多
关键词 carbon nanotube single wall carbon nanotube metallic single wall carbon nanotube multiwall carbon nanotube very large scale integration
原文传递
Deterministic Circular Self Test Path 被引量:2
8
作者 文科 胡瑜 李晓维 《Tsinghua Science and Technology》 SCIE EI CAS 2007年第S1期20-25,共6页
Circular self test path (CSTP) is an attractive technique for testing digital integrated circuits(IC) in the nanometer era, because it can easily provide at-speed test with small test data volume and short test applic... Circular self test path (CSTP) is an attractive technique for testing digital integrated circuits(IC) in the nanometer era, because it can easily provide at-speed test with small test data volume and short test application time. However, CSTP cannot reliably attain high fault coverage because of difficulty of testing random-pattern-resistant faults. This paper presents a deterministic CSTP (DCSTP) structure that consists of a DCSTP chain and jumping logic, to attain high fault coverage with low area overhead. Experimental re- sults on ISCAS’89 benchmarks show that 100% fault coverage can be obtained with low area overhead and CPU time, especially for large circuits. 展开更多
关键词 very large scale integration (VLSI) test built-in-self-test (BIST) circular self test path DETERMINISTIC
原文传递
Wide Symmetrical Dynamic Range PWM Neuron Circuit with Power Efficient Architecture
9
作者 陈继伟 石秉学 《Tsinghua Science and Technology》 SCIE EI CAS 2002年第5期513-516,共4页
A novel pulse stream neuron circuit is presented whose output pulse width facilitates sigmoid activation to activate the function of neurons. The wide symmetrical dynamic range of this neuron ensures high noise immuni... A novel pulse stream neuron circuit is presented whose output pulse width facilitates sigmoid activation to activate the function of neurons. The wide symmetrical dynamic range of this neuron ensures high noise immunity. The pulsed activation strategy provides a power efficient architecture, so the circuit has very low power dissipation. The simplicity of the circuit ensures its suitability for large-scale integration. 展开更多
关键词 neuron network pulsed activation pulse width modulation (PWM) low power design very large scale integration (VLSI) complementary metal-oxide-semiconductor (CMOS) transistor
原文传递
Efficient Clustering and Simulated Annealing Approach for Circuit Partitioning
10
作者 SANDEEP Singh Gill RAJEEVAN Chandel ASHWANI Kumar Chandel 《Journal of Shanghai Jiaotong university(Science)》 EI 2011年第6期708-712,共5页
Circuit net list bipartitioning using simulated annealing technique has been proposed in the paper.The method converges asymptotically and probabilistically to global optimization.The circuit net list is partitioned i... Circuit net list bipartitioning using simulated annealing technique has been proposed in the paper.The method converges asymptotically and probabilistically to global optimization.The circuit net list is partitioned into two partitions such that the number of interconnections between the partitions is minimized.The proposed method begins with an innovative clustering technique to obtain a good initial solution.Results obtained show the versatility of the proposed method in solving non polynomial hard problems of circuit net list partitioning and show an improvement over those available in literature. 展开更多
关键词 cut size non polynomial hard partitioning simulated annealing INTERCONNECTIONS very large scale integration(VLSI) design
原文传递
Efficient Statistical Leakage Power Analysis Method for Function Blocks Considering All Process Variations
11
作者 骆祖莹 《Tsinghua Science and Technology》 SCIE EI CAS 2007年第S1期67-72,共6页
With technology scaling into nanometer regime, rampant process variations impact visible influences on leakage power estimation of very large scale integrations (VLSIs). In order to deal with the case of large inter- ... With technology scaling into nanometer regime, rampant process variations impact visible influences on leakage power estimation of very large scale integrations (VLSIs). In order to deal with the case of large inter- and intra-die variations, we induce a novel theory prototype of the statistical leakage power analysis (SLPA) for function blocks. Because inter-die variations can be pinned down into a small range but the number of gates in function blocks is large(>1000), we continue to simplify the prototype. At last, we induce the efficient methodology of SLPA. The method can save much running time for SLPA in the low power design since it is of the local-updating advantage. A large number of experimental data show that the method only takes feasible running time (0.32 s) to obtain accurate results (3 σ-error <0.5% on maximum) as function block circuits simultaneous suffer from 7.5%(3 σ/mean) inter-die and 7.5% intra-die length variations, which demonstrates that our method is suitable for statistical leakage power analysis of VLSIs under rampant process variations. 展开更多
关键词 process variations statistical analysis leakage power very large scale integration (VLSI)
原文传递
Fast VLSI Implementation of Modular Inversion in Galois Field GF(p)
12
作者 周涛 吴行军 +1 位作者 白国强 陈弘毅 《Tsinghua Science and Technology》 SCIE EI CAS 2003年第5期628-632,共5页
Modular inversion is one of the key arithmetic operations in public key cryptosystems, so low-cost, high-speed hardware implementation is absolutely necessary. This paper presents an algorithm for prime fields for ha... Modular inversion is one of the key arithmetic operations in public key cryptosystems, so low-cost, high-speed hardware implementation is absolutely necessary. This paper presents an algorithm for prime fields for hardware implementation. The algorithm involves only ordinary addition/subtraction and does not need any modular operations, multiplications or divisions. All of the arithmetic operations in the algorithm can be accomplished by only one adder, so it is very suitable for fast very large scale integration (VLSI) implementation. The VLSI implementation of the algorithm is also given with good performance and low silicon penalty. 展开更多
关键词 modular inverse Galois field very large scale integration (VLSI) public key cryptosystem
原文传递
A novel interconnect optimal buffer insertion model considering the self-heating effect
13
作者 张岩 董刚 +4 位作者 杨银堂 王宁 丁尧舜 刘晓贤 王凤娟 《Journal of Semiconductors》 EI CAS CSCD 2013年第11期118-123,共6页
Considering the self-heating effect, an accurate expression for the global interconnection resistance per unit length in terms of interconnection wire width and spacing is presented. Based on the proposed resistance m... Considering the self-heating effect, an accurate expression for the global interconnection resistance per unit length in terms of interconnection wire width and spacing is presented. Based on the proposed resistance model and according to the trade-off theory, a novel optimization analytical model of delay, power dissipation and bandwidth is derived. The proposed optimal model is verified and compared based on 90 nm, 65 nm and 40 nm CMOS technologies. It can be found that more optimum results can be easily obtained by the proposed model. This optimization model is more accurate and realistic than the conventional optimization models, and can be integrated into the global interconnection design ofnano-scale integrated circuits. 展开更多
关键词 self-heating effect interconnection wire resistance per unit length optimal model very large scale integration
原文传递
Incremental Placement-Based Clock Network Minimization Methodology
14
作者 周强 蔡懿慈 +1 位作者 黄亮 洪先龙 《Tsinghua Science and Technology》 SCIE EI CAS 2008年第1期78-84,共7页
Power is the major challenge threatening the progress of very large scale integration (VLSI) technology development. In ultra-deep submicron VLSI designs, clock network size must be minimized to reduce power consump... Power is the major challenge threatening the progress of very large scale integration (VLSI) technology development. In ultra-deep submicron VLSI designs, clock network size must be minimized to reduce power consumption, power supply noise, and the number of clock buffers which are vulnerable to process variations. Traditional design methodologies usually let the clock router independently undertake the clock network minimization. Since clock routing is based on register locations, register placement actually strongly influences the clock network size. This paper describes a clock network design methodology that optimizes register placement. For a given cell placement result, incremental modifications are performed based on the clock skew specifications by moving registers toward preferred locations that may reduce the clock network size. At the same time, the side-effects to logic cell placement, such as signal net wirelength and critical path delay, are controlled. Test results on benchmark circuits show that the methodology can considerably reduce clock network size with limited impact on signal net wirelength and critical path delay. 展开更多
关键词 clock network incremental placement very large scale integration (VLSI)
原文传递
Analytical-BEM coupling method for fast 3-D interconnect resistance extraction
15
作者 WANG Xi-ren YU Wen-jian WANG Ze-yi 《Frontiers of Electrical and Electronic Engineering in China》 CSCD 2006年第2期239-243,共5页
Deep submicron process technology is widely being used and interconnect structures are becoming more and more complex.This means that the resistance calculation based on two-dimensional models can no longer provide su... Deep submicron process technology is widely being used and interconnect structures are becoming more and more complex.This means that the resistance calculation based on two-dimensional models can no longer provide sufficiently accurate results.This paper presents a three-dimensional resistance calculation method called the combined analytical formula and boundary element method(ABEM).The method cuts selected interconnecting lines then it calculates the resistances of straight sections using an analytical formula and the resistances of the other sections using the boundary element method(BEM).The resistances of the different sub-regions are combined to calculate the resistance of the entire region.Experiments on actual layouts show that compared with the commercial software Raphael based on finite difference method,the proposed method is 2-3 orders of magnitude faster.The ABEM method uses much less memory(about 0.1%-1%),and is more accurate than Raphael with default mesh partitions.The results illustrate that the proposed method is efficient and accurate. 展开更多
关键词 very large scale integration Interconnecting resistance 3-D extraction Analytical formula BEM
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部