期刊文献+
共找到3,592篇文章
< 1 2 180 >
每页显示 20 50 100
用VHDL-AMS进行概念设计 被引量:5
1
作者 叶以正 肖立伊 李滨 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2000年第11期830-834,共5页
VHDL- AMS是 VHDL 向模拟和混合信号领域的扩展 .VHDL- AMS为设计者提供了在概念级处理复杂系统的能力 .随着 VHDL- AMS的标准化 ,将诞生处理复杂的模拟和混合信号模型的有效的模拟器 .文中介绍了VHDL- AMS模拟扩展的主要内容 ,展示了... VHDL- AMS是 VHDL 向模拟和混合信号领域的扩展 .VHDL- AMS为设计者提供了在概念级处理复杂系统的能力 .随着 VHDL- AMS的标准化 ,将诞生处理复杂的模拟和混合信号模型的有效的模拟器 .文中介绍了VHDL- AMS模拟扩展的主要内容 ,展示了一个混合模式模拟环境 ,并给出了模拟解算器的构成 ,讨论了连续和离散模拟的同步问题 ;用 4个例子说明 VHDL - 展开更多
关键词 概念设计 vhdl-AMS vhdl语言
下载PDF
基于VHDL语言的序列发生器设计
2
作者 焦鹏 邓正万 《数字技术与应用》 2023年第1期171-173,共3页
在介绍了序列信号发生器的基础上,给出了利用VHDL语言设计的具体方法。结合MAX+PLUS II的波形仿真功能,验证设计的正确性。1序列信号发生器简介数字信号采用二值信息“0”和“1”来表示两个相对的状态,如脉冲的有、无或电平的高、低。例... 在介绍了序列信号发生器的基础上,给出了利用VHDL语言设计的具体方法。结合MAX+PLUS II的波形仿真功能,验证设计的正确性。1序列信号发生器简介数字信号采用二值信息“0”和“1”来表示两个相对的状态,如脉冲的有、无或电平的高、低。例如:若用“1”表示高电平,则“0”可表示低电平;若用“0”表示有脉冲,则“1”可表示无脉冲。数字电路中,“0”和“1”仅表示两种相对独立的状态,没有数值上的大小概念,在实际应用中,两个相对独立的状态可用电子器件的开关特性来实现,就是利用二极管、三极管、场效应管等元器件的开关特性,如完全导通表示一种状态,完全截止表示另一种状态。因此,数字电路的传输与信号处理无论在电路结构还是研究内容、分析方法均与模拟电路不同。 展开更多
关键词 波形仿真 数字电路 开关特性 vhdl语言 序列信号发生器 场效应管 模拟电路 数字信号
下载PDF
适应调试功能的VHDL模型及模拟算法 被引量:2
3
作者 边计年 卢峰 郭芳 《计算机学报》 EI CSCD 北大核心 1997年第11期996-1002,共7页
本文提出一个适应调试功能的VHDL模型及VHDL模拟算法──VSIM.它与可视化VHDL原理图输入工具VDES和高级图形调试器VDBX结合在一起,为设计者检查、修改自己的设计提供了极大的便利.该模拟器采用层次式结构行... 本文提出一个适应调试功能的VHDL模型及VHDL模拟算法──VSIM.它与可视化VHDL原理图输入工具VDES和高级图形调试器VDBX结合在一起,为设计者检查、修改自己的设计提供了极大的便利.该模拟器采用层次式结构行为混合模型,保存VHDL描述的所有信息和结构,以利于实现调试功能.模拟算法采用基于进程的事件驱动算法及层次式模块调用算法,并提供模拟时间、语句行、模块(包括元件、进程和子程序)、信号/变量、条件等各种中断类型并能继续模拟. 展开更多
关键词 硬件描述语言 vhdl语言 vhdl模拟 算法
下载PDF
论VHDL语言的程序结构和描述风格 被引量:4
4
作者 刘昌华 《计算机与数字工程》 2010年第12期141-144,204,共5页
介绍了VHDL语言的特性与程序结构,提出了VHDL的三种描述风格,通过序列计数器的VHDL设计示例说明了VHDL语言的三种描述风格在实际设计中的应用。
关键词 vhdl语言 程序结构 描述风格 STYLE STRUCTURE vhdl设计 计数器 序列 示例
下载PDF
一种用VHDL语言实现的帧同步算法 被引量:2
5
作者 谢维华 庹新宇 杨瑞娟 《空军雷达学院学报》 2003年第2期17-19,共3页
硬件描述语言(VHDL)在实现电子设计自动化方面起着重要的作用.本文研究了用VHDL语言 设计数字通信系统中的群同步算法及实现方法,并给出了一个应用实例.
关键词 帧同步 vhdl语言 硬件描述语言(vhdl) 数字通信系统 电子设计自动化 同步算法 计数 实现方法 应用实例
下载PDF
基于VHDL语言的交通灯控制器设计 被引量:3
6
作者 邱磊 肖兵 《福建电脑》 2004年第12期76-77,共2页
本文通过交通灯控制器的设计 ,阐明了利用VHDL硬件描述语言进行CPLD/FPGA开发的一般思路和方法。作出了针对现代公路要求 ,采用语言描述实现交通灯控制器功能的一种新的尝试 ,并对其普通功能作了进一步完善。
关键词 灯控 交通灯 控制器设计 公路 vhdl语言 CPLD/FPGA 功能 vhdl硬件描述语言 开发
下载PDF
VHDL语言分析器自动生成中的关键技术
7
作者 牛振东 宋翰涛 刘明业 《Journal of Beijing Institute of Technology》 EI CAS 1995年第2期187+182-187,共7页
VHDL语言及其支撑环境是逻辑设计自动化中的活跃领域,本文论述了VHDL语言分析器的设计原理及实现中的关键技术.按照文中的方法,已实现了基于VHDLIEEE1076标准版本的VHDL语言分析器并对其进行了一系列严格的... VHDL语言及其支撑环境是逻辑设计自动化中的活跃领域,本文论述了VHDL语言分析器的设计原理及实现中的关键技术.按照文中的方法,已实现了基于VHDLIEEE1076标准版本的VHDL语言分析器并对其进行了一系列严格的测试,该VHDL语言分析器是北京理工大学计算机科学与工程系开发的VHDL高级综合及混合模拟系统的重要组成部分. 展开更多
关键词 编译程序/vhdl vhdl语言分析器 YACC
下载PDF
VHDL─A:VHDL的扩展
8
作者 肖立伊 叶以正 《微电子学与计算机》 CSCD 北大核心 1998年第4期37-39,共3页
VHDL作为数字系统的硬件描述语言已应用多年,并取得了成功。对于模拟系统尚没有一种IEEE标准的硬件描述语言问世,本文介绍正在标准化进程中的模拟硬件描述语言VHDL─A,该语言是VHDL的扩展,在VHDL的基础上加入了可描述模拟系统的对... VHDL作为数字系统的硬件描述语言已应用多年,并取得了成功。对于模拟系统尚没有一种IEEE标准的硬件描述语言问世,本文介绍正在标准化进程中的模拟硬件描述语言VHDL─A,该语言是VHDL的扩展,在VHDL的基础上加入了可描述模拟系统的对象、类型和结构。 展开更多
关键词 vhdl语言 vhdl-A语言 硬件描述语言
下载PDF
基于VHDL语言的数字电路设计
9
作者 刘淑荣 蒋彬 《长春工程学院学报(自然科学版)》 2002年第4期60-61,共2页
简要介绍了硬件描述语言VHDL语言的基本结构 ,并将应用VHDL语言的软件设计方法和传统的数字电路硬件设计方法相对照 。
关键词 vhdl语言 数字电路设计 vhdl设计方法应用
下载PDF
基于VHDL语言的串行密码锁设计 被引量:4
10
作者 尤国平 陈新 +1 位作者 林伟 黄世震 《国外电子测量技术》 2005年第9期13-16,23,共5页
EDA技术的应用引起了电子产品系统开发的革命性变革。利用先进的EDA工具,基于硬件描述语言,可以进行系统级数字逻辑电路的设计。本文简述了VHDL语言的功能及其特点,并以8位串行数字锁设计为例,介绍了在Max+plusⅡ10.2开发软件下,利用VHD... EDA技术的应用引起了电子产品系统开发的革命性变革。利用先进的EDA工具,基于硬件描述语言,可以进行系统级数字逻辑电路的设计。本文简述了VHDL语言的功能及其特点,并以8位串行数字锁设计为例,介绍了在Max+plusⅡ10.2开发软件下,利用VHDL硬件描述语言设计数字逻辑电路的过程和方法。 展开更多
关键词 vhdl Max+plusⅡ EDA 电子密码锁 vhdl语言 语言设计 串行 密码锁 硬件描述语言 数字逻辑电路
下载PDF
基于面向对象的VHDL语言及其设计实现方法研究
11
作者 元泽怀 《常州工学院学报》 2005年第6期39-42,共4页
从面向对象技术角度出发,论述了面向对象的VHDL语言(OO-VHDL)及其设计实现方法。分析了OO-VHDL的特点,介绍了面向对象的VHDL翻译器,总结了采用OO-VHDL进行设计具有速度快、结构清晰、可扩充性强等优点。
关键词 面向对象 vhdl编译器 vhdl C++翻译器
下载PDF
用VHDL语言在CPLD/FPGA上实现浮点运算 被引量:11
12
作者 沈明发 易清明 +1 位作者 黄伟英 周伟贤 《暨南大学学报(自然科学与医学版)》 CAS CSCD 2002年第5期19-24,共6页
 介绍了用VHDL语言在硬件芯片上实现浮点加/减法、浮点乘法运算的方法,并以Altera公司的FLEX10K系列产品为硬件平台,以MaxplusII为软件工具,实现了6点实序列浮点加/减法运算和浮点乘法运算.
关键词 超高速集成电路硬件描述语言 vhdl 浮点运算 复杂可编程逻辑器件 CPLD/FPGA 现场可编程门阵列 数字信号处理
下载PDF
基于VHDL的故障注入技术 被引量:7
13
作者 曾宪炼 马捷中 +1 位作者 任向隆 何世强 《计算机工程》 CAS CSCD 北大核心 2010年第11期244-246,249,共4页
对基于VHDL的故障注入技术进行研究,阐述基于仿真命令、"破坏"和"突变"的故障注入技术原理,以8051处理器为注入对象,实现3种故障注入技术。对3种技术的特性进行比较分析。对于仿真命令方式,研究信号操作和变量操作... 对基于VHDL的故障注入技术进行研究,阐述基于仿真命令、"破坏"和"突变"的故障注入技术原理,以8051处理器为注入对象,实现3种故障注入技术。对3种技术的特性进行比较分析。对于仿真命令方式,研究信号操作和变量操作2种方式,针对变量操作方式提出可行的实现方法。对于"破坏"方式,通过对"串行破坏"和"并行破坏"的分析比较,证明前者更具实用价值。对于"突变"方式,介绍不同的实现方法,提出优化的设计方案。 展开更多
关键词 vhdl语言 故障注入 仿真命令 破坏 突变
下载PDF
基于VHDL的有限状态机描述及综合 被引量:8
14
作者 孔健 杨洪斌 +1 位作者 吴悦 唐毅 《计算机工程》 CAS CSCD 北大核心 2003年第15期82-83,143,共3页
介绍了使用VHDL描述有限状态机的方法,重点分析了综合过程中的难点并提供了解决方法。最后以乘法电路为例实现了可综合的FSM描述并通过门级仿真验证正确性。
关键词 vhdl 有限状态机 综合 乘法电路
下载PDF
基于VHDL技术实现视频采集处理器的控制 被引量:5
15
作者 田雁 曹剑中 +2 位作者 许朝晖 李变霞 刘莹 《光子学报》 EI CAS CSCD 北大核心 2006年第8期1276-1279,共4页
针对目前视频图像采集技术中图像采样控制复杂,应用不灵活的问题,基于现有视频采样芯片SAA7111,提出一种采用VHDL技术来模拟实现I2C总线接口的方法,控制视频采集处理器实现视频图像采集.实验证明,I2C总线控制SAA7111采样图像数据正确、... 针对目前视频图像采集技术中图像采样控制复杂,应用不灵活的问题,基于现有视频采样芯片SAA7111,提出一种采用VHDL技术来模拟实现I2C总线接口的方法,控制视频采集处理器实现视频图像采集.实验证明,I2C总线控制SAA7111采样图像数据正确、稳定.该方法具有非常好的可移植性. 展开更多
关键词 I2C总线 vhdl 视频采集 SAA7111
下载PDF
基于VHDL之CPU设计与实践 被引量:13
16
作者 徐爱萍 张玉萍 涂国庆 《实验室研究与探索》 CAS 北大核心 2014年第5期120-124,共5页
随着大规模可编程逻辑器件的发展,EDA技术已成为硬件系统电路设计的重要手段。基于EDA技术的计算机组成原理整机实习通常在Quartus II仿真平台上实现。早期均采用Block Diagram的设计方式,虽然设计过程直观,但仿真调试困难,难以完全调... 随着大规模可编程逻辑器件的发展,EDA技术已成为硬件系统电路设计的重要手段。基于EDA技术的计算机组成原理整机实习通常在Quartus II仿真平台上实现。早期均采用Block Diagram的设计方式,虽然设计过程直观,但仿真调试困难,难以完全调试成功。本文研究了基于VHDL的灵活方便的CPU设计过程,该设计由取指、指令译码、指令执行、存储器接口、通用寄存器组和寄存器输出六个组成部分,最后通过调试软件直接观察寄存器的值来验证了设计的准确性。本研究方法对改革该课程的整机实习,发挥学生的主动能动性,提高学生的自主创造能力具有很好的指导意义和实际参考价值。 展开更多
关键词 计算机组成原理 CPU设计 整机实习 vhdl
下载PDF
VHDL在数字集成电路设计中的应用 被引量:10
17
作者 韩进 程勇 齐现英 《山东科技大学学报(自然科学版)》 CAS 2003年第4期74-77,共4页
概述了数字集成电路设计的发展趋势;分析了VHDL的特点;结合实例介绍了VHDL在数字集 成电路设计中的应用方法。
关键词 vhdl 数字集成电路 电路设计 硬件描述语言 现场可编程门阵列 FPGA 现场可编程逻辑器件 FPLD
下载PDF
SDRAM控制器的设计与VHDL实现 被引量:19
18
作者 田丰 邓建国 +1 位作者 李巍 贾治华 《电子技术应用》 北大核心 2005年第2期74-77,共4页
介绍了SDRAM的存储体结构、主要控制时序和基本操作命令,并且结合实际系统,给出了一种用FPGA实现的通用SDRAM控制器的方案。
关键词 SDRAM控制器 vhdl 命令 FPGA实现 通用 存储 时序 方案 基本操作
下载PDF
基于VHDL语言的LMS自适应滤波器的硬件实现方法 被引量:6
19
作者 李国峰 吴岳 秦世才 《南开大学学报(自然科学版)》 CAS CSCD 北大核心 2002年第3期82-86,共5页
提出了一种 LMS数字自适应滤波器的硬件实现方法 ,就是用 VHDL语言描述设计文件 ,在 ALTERA公司的 ACEX系列芯片上实现自适应滤波器 ,在 Maxplus2上进行了模拟仿真和时序分析 ,并给出了该算法在MATLAB上的计算结果 .
关键词 LMS自适应滤波器 vhdl语言 ACEX系列芯片 MATLAB FPGA方法 LMS自适应算 硬件设计
下载PDF
VHDL高级综合系统设计中某些关键问题的技术决策 被引量:13
20
作者 刘明业 张东晓 许庆平 《计算机学报》 EI CSCD 北大核心 1997年第6期501-509,共9页
本文的研究实现了从电路系统行为(含算法及功能)的VHDL描述到RTL和逻辑结构级描述的高级综合,并针对XilinxFPGA文件库映射成工艺相关的ASIC,直至生成FPGA的器件.整个系统包括七个部分,本文重点讨论每个... 本文的研究实现了从电路系统行为(含算法及功能)的VHDL描述到RTL和逻辑结构级描述的高级综合,并针对XilinxFPGA文件库映射成工艺相关的ASIC,直至生成FPGA的器件.整个系统包括七个部分,本文重点讨论每个部分的技术决策以及在SUNSPARC2上实现的运行结果. 展开更多
关键词 高级综合 vhdl 数据流 控制流 工艺映射 ASIC
下载PDF
上一页 1 2 180 下一页 到第
使用帮助 返回顶部