期刊文献+
共找到10篇文章
< 1 >
每页显示 20 50 100
基于FPGA的音频编解码芯片接口设计 被引量:9
1
作者 王杰玉 杜炜 潘红兵 《现代电子技术》 2009年第5期179-181,共3页
24位立体声音频编解码芯片WM8731因其高性能、低功耗等优点在很多音频产品中得到了广泛应用。介绍了其基于FPGA的接口电路的设计,包括芯片配置模块与音频数据接口模块等,使得控制器只通过寄存器就可以方便地对其进行操作,而不需要考虑... 24位立体声音频编解码芯片WM8731因其高性能、低功耗等优点在很多音频产品中得到了广泛应用。介绍了其基于FPGA的接口电路的设计,包括芯片配置模块与音频数据接口模块等,使得控制器只通过寄存器就可以方便地对其进行操作,而不需要考虑其接口电路复杂的时钟时序问题,从而有效地降低了利用此芯片的难度。整个设计以VHDL和Verilog HDL语言在Max+PlusⅡ里实现,并进行了验证,结果表明能满足使用者的要求且操作简单。对其他编解码芯片的接口设计也有一定的参考作用。 展开更多
关键词 wm8731 fpga 接口设计 音频编解码芯片
下载PDF
基于FPGA的语音录制与回放系统 被引量:1
2
作者 曾繁政 王明娟 +1 位作者 曲艺 李立礼 《现代电子技术》 2010年第16期66-68,共3页
系统用FPGA实现了I2C总线控制器,以Altera公司的NiosⅡ嵌入式软处理器为核心,结合高品质数字信号音频编/解码芯片WM8731成功地实现了语音的录制及回放功能,同时利用Matlab7.0.4软件对所采集的语音数据进行仿真。系统采用SoPC技术,自行... 系统用FPGA实现了I2C总线控制器,以Altera公司的NiosⅡ嵌入式软处理器为核心,结合高品质数字信号音频编/解码芯片WM8731成功地实现了语音的录制及回放功能,同时利用Matlab7.0.4软件对所采集的语音数据进行仿真。系统采用SoPC技术,自行设计采集模块和I2C协议驱动模块,并通过AWALON总线挂载在Nios软核上实时高速采集与回放。实践表明,系统具有集成度高,稳定性好,实时性强的特点。 展开更多
关键词 SOPC fpga I2C总线 wm8731
下载PDF
基于FPGA的音频芯片输出控制设计 被引量:6
3
作者 韩延义 李航 李岳 《实验室研究与探索》 CAS 北大核心 2013年第5期94-96,167,共4页
研究使用VHDL对FPGA进行编程并控制wm8731音频解码芯片将数字音频信号转换为模拟音频信号输出。通过对wm8731芯片的数字音频信号输入时序和控制信号时序的研究,达到对wm8731芯片进行控制及模拟音频信号输出的控制。实验结果表明,本设计... 研究使用VHDL对FPGA进行编程并控制wm8731音频解码芯片将数字音频信号转换为模拟音频信号输出。通过对wm8731芯片的数字音频信号输入时序和控制信号时序的研究,达到对wm8731芯片进行控制及模拟音频信号输出的控制。实验结果表明,本设计可以达到控制wm8731进行音频信号的数模转换的功能。 展开更多
关键词 VHDL fpga 音频解码芯片 wm8731
下载PDF
紫外光语音通信中WM8731的控制与应用
4
作者 巩稼民 张正军 +3 位作者 邢仁平 徐嘉驰 王贝贝 柳华勃 《光通信技术》 北大核心 2016年第1期15-18,共4页
简单介绍了紫外光语音通信系统的组成和相关关键技术,论述了芯片WM8731在紫外光语音通信系统中的应用,提出了语音存储、语音数据格式设定和语音传输控制等关键问题的解决方案。使用Verilog HDL语言在ISE13.1中实现语音信号的传输控制,... 简单介绍了紫外光语音通信系统的组成和相关关键技术,论述了芯片WM8731在紫外光语音通信系统中的应用,提出了语音存储、语音数据格式设定和语音传输控制等关键问题的解决方案。使用Verilog HDL语言在ISE13.1中实现语音信号的传输控制,并通过ModelSim仿真软件进行时序验证以检验芯片配置是否满足设计要求。 展开更多
关键词 Xilinxfpga wm8731音频编解码芯片 紫外光语音通信系统
下载PDF
基于FPGA的I~2C控制器的实现及其在音频编解码中应用
5
作者 张兴华 李世银 +2 位作者 冯小龙 姜晓燕 陆靓亮 《电脑知识与技术(过刊)》 2007年第24期94-96,共3页
本文分析了I2C串行总线的数据传输机制,采用硬件描述语言verilog在行为级描述了I2C总线控制器在FPGA上的实现。给出了音频编解码芯片WM8731的配置模块IP核。根据设计流程,对程序进行了前仿真和调试,结果表明符合I2C串行总线的协议要求... 本文分析了I2C串行总线的数据传输机制,采用硬件描述语言verilog在行为级描述了I2C总线控制器在FPGA上的实现。给出了音频编解码芯片WM8731的配置模块IP核。根据设计流程,对程序进行了前仿真和调试,结果表明符合I2C串行总线的协议要求。并在Quartus II 6.0开发环境下进行了综合,后仿真和下载。 展开更多
关键词 fpga VERILOG I~2C BUS wm8731
下载PDF
基于FPGA的音频播放系统设计 被引量:1
6
作者 郭颂 何云斌 《信息技术》 2011年第5期95-100,共6页
介绍了基于FPGA的嵌入式数字音频播放系统的设计。该设计在FPGA上利用WM8731编/解码芯片,通过配置SOPC中的NiosII软核CPU和相关的接口模块来实现嵌入式系统的主要硬件结构,并结合软件设计来控制音频编/解码芯片和SD卡,实现了音频信号的... 介绍了基于FPGA的嵌入式数字音频播放系统的设计。该设计在FPGA上利用WM8731编/解码芯片,通过配置SOPC中的NiosII软核CPU和相关的接口模块来实现嵌入式系统的主要硬件结构,并结合软件设计来控制音频编/解码芯片和SD卡,实现了音频信号的D/A转换、存储、播放等功能。由于采用了SOPC技术,使得该系统具有集成度高、稳定性好、设计灵活和设计效率高等优点。 展开更多
关键词 fpga wm8731 SOPC 12C总线
下载PDF
基于SOPC嵌入式数字存储音频采集与回放系统设计 被引量:1
7
作者 王海荣 《山东农业大学学报(自然科学版)》 CSCD 北大核心 2014年第2期223-228,共6页
SOPC集成了硬核或软核CPU、DSP、存储器、外围I/O及可编程逻辑,极大地方便了软件的开发。本设计采用Altera公司的CycloneII 2C35FPGA构建片上系统,与片外SDRAM和音频编解码芯片WM8731进行数据交换,构成音频系统,实现音频信号的A/D、D/A... SOPC集成了硬核或软核CPU、DSP、存储器、外围I/O及可编程逻辑,极大地方便了软件的开发。本设计采用Altera公司的CycloneII 2C35FPGA构建片上系统,与片外SDRAM和音频编解码芯片WM8731进行数据交换,构成音频系统,实现音频信号的A/D、D/A转换、存储与回放等功能,本文给出了该系统的相关软硬件设计。 展开更多
关键词 SOPC fpga 音频系统 wm8731 微处理器
下载PDF
一种大功率数字音频系统设计
8
作者 何晓波 《山西电子技术》 2014年第3期32-33,共2页
音频编解码芯片WM8731因其高性能、低功耗等优点在很多音频产品中得到了广泛应用。本文提出了WM8731与FPGA的音频编解码系统,并嵌入大功率D类功放技术作为音频系统的功率放大应用,使得本系统效率高,体积小,音质高,性能显著。
关键词 wm8731 fpga 音频系统 数字功放
下载PDF
基于FPGA的心音信号采集系统设计 被引量:1
9
作者 查启秋 赵杨 +2 位作者 宋少帅 宗容 王威廉 《云南大学学报(自然科学版)》 CAS CSCD 北大核心 2017年第3期356-359,共4页
介绍了一种基于FPGA的心音信号的采集系统,该系统包括心音信号传感器,心音信号采集电路,带有集成耳机驱动器的低功耗音频编码解码器WM8731芯片.心音传感器将心音信号转换为电信号,传送到心音信号采集板上,进行放大及滤波,再传送到FPGA的... 介绍了一种基于FPGA的心音信号的采集系统,该系统包括心音信号传感器,心音信号采集电路,带有集成耳机驱动器的低功耗音频编码解码器WM8731芯片.心音传感器将心音信号转换为电信号,传送到心音信号采集板上,进行放大及滤波,再传送到FPGA的WM8731芯片上进行A/D转换,最后通过RS232串口传送的PC机上.该系统设计表明能够满足快速对心音信号进行采集,为后续信号的处理奠定坚实的基础. 展开更多
关键词 fpga 心音信号 采集系统 wm8731 RS232
原文传递
基于FPGA的语音信号采集回放存储系统的设计
10
作者 路娜 赵建平 +1 位作者 胡海凤 张慧霞 《通信技术》 2013年第9期89-91,共3页
系统构建了基于FPGA的语音信号采集存储系统,详细论述了I2C总线控制器,AUD_RAM,驱动器,WM8731各个模块的设计方法及功能,并给出了核心代码还有其控制流程。音频编解码芯片WM8731由于其具有高性能、低功耗等优点,在音频产品中得到广泛用... 系统构建了基于FPGA的语音信号采集存储系统,详细论述了I2C总线控制器,AUD_RAM,驱动器,WM8731各个模块的设计方法及功能,并给出了核心代码还有其控制流程。音频编解码芯片WM8731由于其具有高性能、低功耗等优点,在音频产品中得到广泛用。在QuartusⅡ9.0中完成设计,并在modelsim中完成仿真,实验结果表明,该系统能够可靠完成对人的语音信号的采集、回放与存储,回放时在输出端会听到清晰语音。 展开更多
关键词 语音信号 现场可编程门阵列 WM87 31 I^2C
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部