期刊文献+
共找到7,975篇文章
< 1 2 250 >
每页显示 20 50 100
Oxidation and Electrical Properties of Cu-Mn_(3)O_(4)Composite Coating Obtained by Electrodeposition on SOFC Interconnects
1
作者 吕烨 LUO Shengyun 《Journal of Wuhan University of Technology(Materials Science)》 SCIE EI CAS CSCD 2023年第1期72-78,共7页
Cu-Mn_(3)O_(4)composite coating was prepared on the SUS 430 ferritic stainless steel by electrodeposition and then exposed in air at 800℃corresponding to the cathode atmosphere of solid oxide fuel cell(SOFC).A dual-l... Cu-Mn_(3)O_(4)composite coating was prepared on the SUS 430 ferritic stainless steel by electrodeposition and then exposed in air at 800℃corresponding to the cathode atmosphere of solid oxide fuel cell(SOFC).A dual-layer oxide structure mainly comprising an external layer of CuO followed by(Cu,Mn,Fe)_(3)O_(4)spinel and an internal layer of Cr-rich oxide was thermally developed on the coated steel.The scale area-specific resistances(ASRs)of the coated steels were lower than the scale ASR of the uncoated steel after identical thermal exposure.The external layer of CuO/(Cu,Mn,Fe)_(3)O_(4)spinel not only served as a barrier to reduce the growth rate of Cr-rich oxide internal layer and to suppress the outward diffusion of Cr,but also lowered the surface scale ASRs considerably. 展开更多
关键词 solid oxide fuel cell steel interconnect Cu-Mn_(3)O_(4)composite coating area specific resistance
下载PDF
Decentralized Optimal Control and Stabilization of Interconnected Systems With Asymmetric Information
2
作者 Na Wang Xiao Liang +1 位作者 Hongdan Li Xiao Lu 《IEEE/CAA Journal of Automatica Sinica》 SCIE EI CSCD 2024年第3期698-707,共10页
The paper addresses the decentralized optimal control and stabilization problems for interconnected systems subject to asymmetric information.Compared with previous work,a closed-loop optimal solution to the control p... The paper addresses the decentralized optimal control and stabilization problems for interconnected systems subject to asymmetric information.Compared with previous work,a closed-loop optimal solution to the control problem and sufficient and necessary conditions for the stabilization problem of the interconnected systems are given for the first time.The main challenge lies in three aspects:Firstly,the asymmetric information results in coupling between control and estimation and failure of the separation principle.Secondly,two extra unknown variables are generated by asymmetric information(different information filtration)when solving forward-backward stochastic difference equations.Thirdly,the existence of additive noise makes the study of mean-square boundedness an obstacle.The adopted technique is proving and assuming the linear form of controllers and establishing the equivalence between the two systems with and without additive noise.A dual-motor parallel drive system is presented to demonstrate the validity of the proposed algorithm. 展开更多
关键词 Asymmetric information decentralized control forwardbackward stochastic difference equations interconnected system stalibization
下载PDF
Silicon-based optoelectronic heterogeneous integration for optical interconnection
3
作者 李乐良 李贵柯 +5 位作者 张钊 刘剑 吴南健 王开友 祁楠 刘力源 《Chinese Physics B》 SCIE EI CAS CSCD 2024年第2期1-9,共9页
The performance of optical interconnection has improved dramatically in recent years.Silicon-based optoelectronic heterogeneous integration is the key enabler to achieve high performance optical interconnection,which ... The performance of optical interconnection has improved dramatically in recent years.Silicon-based optoelectronic heterogeneous integration is the key enabler to achieve high performance optical interconnection,which not only provides the optical gain which is absent from native Si substrates and enables complete photonic functionalities on chip,but also improves the system performance through advanced heterogeneous integrated packaging.This paper reviews recent progress of silicon-based optoelectronic heterogeneous integration in high performance optical interconnection.The research status,development trend and application of ultra-low loss optical waveguides,high-speed detectors,high-speed modulators,lasers and 2D,2.5D,3D and monolithic integration are focused on. 展开更多
关键词 silicon-based heterogeneous integration heterogeneous integrated materials heterogeneous integrated packaging optical interconnection
下载PDF
Research on Scheduling Strategy of Flexible Interconnection Distribution Network Considering Distributed Photovoltaic and Hydrogen Energy Storage
4
作者 Yang Li Jianjun Zhao +2 位作者 Xiaolong Yang He Wang Yuyan Wang 《Energy Engineering》 EI 2024年第5期1263-1289,共27页
Distributed photovoltaic(PV)is one of the important power sources for building a new power system with new energy as the main body.The rapid development of distributed PV has brought new challenges to the operation of... Distributed photovoltaic(PV)is one of the important power sources for building a new power system with new energy as the main body.The rapid development of distributed PV has brought new challenges to the operation of distribution networks.In order to improve the absorption ability of large-scale distributed PV access to the distribution network,the AC/DC hybrid distribution network is constructed based on flexible interconnection technology,and a coordinated scheduling strategy model of hydrogen energy storage(HS)and distributed PV is established.Firstly,the mathematical model of distributed PV and HS system is established,and a comprehensive energy storage system combining seasonal hydrogen energy storage(SHS)and battery(BT)is proposed.Then,a flexible interconnected distribution network scheduling optimization model is established to minimize the total active power loss,voltage deviation and system operating cost.Finally,simulation analysis is carried out on the improved IEEE33 node,the NSGA-II algorithm is used to solve specific examples,and the optimal scheduling results of the comprehensive economy and power quality of the distribution network are obtained.Compared with the method that does not consider HS and flexible interconnection technology,the network loss and voltage deviation of this method are lower,and the total system cost can be reduced by 3.55%,which verifies the effectiveness of the proposed method. 展开更多
关键词 Seasonal hydrogen storage flexible interconnection AC/DC distribution network photovoltaic absorption scheduling strategy
下载PDF
A novel analytical thermal model for multilevel nano-scale interconnects considering the via effect 被引量:2
5
作者 朱樟明 李儒 +1 位作者 郝报田 杨银堂 《Chinese Physics B》 SCIE EI CAS CSCD 2009年第11期4995-5000,共6页
Based on the heat diffusion equation of multilevel interconnects, a novel analytical thermal model for multilevel nano-scale interconnects considering the via effect is presented, which can compute quickly the tempera... Based on the heat diffusion equation of multilevel interconnects, a novel analytical thermal model for multilevel nano-scale interconnects considering the via effect is presented, which can compute quickly the temperature of multilevel interconnects, with substrate temperature given. Based on the proposed model and the 65 nm complementary metal oxide semiconductor (CMOS) process parameter, the temperature of nano-scale interconnects is computed. The computed results show that the via effect has a great effect on local interconnects, but the reduction of thermal conductivity has little effect on local interconnects. With the reduction of thermal conductivity or the increase of current density, however, the temperature of global interconnects rises greatly, which can result in a great deterioration in their performance. The proposed model can be applied to computer aided design (CAD) of very large-scale integrated circuits (VLSIs) in nano-scale technologies. 展开更多
关键词 multilevel interconnects temperature distribution SELF-HEATING via effect
下载PDF
Effects of La_(0.8)Sr_ (0.2)Mn(Fe)O_(3-δ) Protective Coatings on SOFC Metallic Interconnects 被引量:2
6
作者 付长璟 孙克宁 周德瑞 《Journal of Rare Earths》 SCIE EI CAS CSCD 2006年第3期320-326,共7页
SUS430 (16% - 17% (mass fraction) Cr) can be used as interconnects for solid oxide fuel cells (SOFCs) that operate at lower temperatures ( 〈 800 ℃ ). However, oxidation of steel can occur readily at elevated... SUS430 (16% - 17% (mass fraction) Cr) can be used as interconnects for solid oxide fuel cells (SOFCs) that operate at lower temperatures ( 〈 800 ℃ ). However, oxidation of steel can occur readily at elevated temperatures leading to the formation of Cr2O3 and spinel (Fe3O4) and thus greatly degrades the performance of the fuel cell. The aim of this work was to reduce oxide growth, in particular, the Cr2O3 phase, through the application of La0.8Sr0.2MnO3-δ (LSM2O) and La0.8Sr0.2FeO3-δ(LSF20) coatings by atmospheric plasma spraying technology (APS). Oxide growth was characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM) with an energy dispersive X-ray (EDX) analyzer. During oxidation of fifty 20 h cycles at 800 ℃ in air, the samples with coatings remained very stable, whereas significant spallation and weight loss were observed for the uncoated steel. LSF20 presents apparently advantages in reducing oxidation growth, interface resistance and inhibition of diffusion of chromium. After exposure in air at 800 ℃ for 1000 h, the interfacial resistance of LSF20-coated alloy is lowered by more than 23 times to that of LSM20-coated layer. 展开更多
关键词 plasma spraying solid oxide fuel cells metallic interconnects COATINGS rare earths
下载PDF
Electric Current-induced Failure of 200-nm-thick Gold Interconnects 被引量:1
7
作者 Bin ZHANG Qingyuan YU +1 位作者 Jun TAN Guangping ZHANG 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2008年第6期895-898,共4页
200-nm-thick Au interconnects on a quartz substrate were tested in-situ inside a dual-beam microscope by applying direct current, alternating current and alternating current with a small direct current component. The ... 200-nm-thick Au interconnects on a quartz substrate were tested in-situ inside a dual-beam microscope by applying direct current, alternating current and alternating current with a small direct current component. The failure behavior of the Au interconnects under three kinds of electric currents were characterized in-situ by scanning electron microscopy. It is found that the formation of voids and subsequent growth perpendicular to the interconnect direction is the fatal failure mode for all the Au interconnects under three kinds of electric currents. The failure mechanism of the ultrathin metal lines induced by the electric currents was analyzed. 展开更多
关键词 Au interconnect Electric current Thermal fatigue FAILURE
下载PDF
Vertical assembly of carbon nanotubes for via interconnects 被引量:1
8
作者 魏芹芹 魏子钧 +6 位作者 任黎明 赵华波 叶天扬 施祖进 傅云义 张兴 黄如 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第8期6-13,共8页
The via interconnects are key components in ultra-large scale integrated circuits (ULSI). This paper deals with a new method to create single-walled carbon nanotubes (SWNTs) via interconnects using alternating die... The via interconnects are key components in ultra-large scale integrated circuits (ULSI). This paper deals with a new method to create single-walled carbon nanotubes (SWNTs) via interconnects using alternating dielectrophoresis (DEP). Carbon nanotubes are vertically assembled in the microscale via-holes successfully at room temperature under ambient condition. The electrical evaluation of the SWNT vias reveals that our DEP assembly technique is highly reliable and the success rate of assembly can be as high as 90%. We also propose and test possible approaches to reducing the contact resistance between CNT vias and metal electrodes. 展开更多
关键词 carbon nanotube via interconnects dielectrophoresis electrical properties contact resistance
下载PDF
Sixteen-element Ge-on-SOI PIN photo-detector arrays for parallel optical interconnects 被引量:1
9
作者 李冲 薛春来 +2 位作者 刘智 成步文 王启明 《Chinese Physics B》 SCIE EI CAS CSCD 2014年第3期645-648,共4页
We describe the structure and testing of one-dimensional array parallel-optics photo-detectors with 16 photodiodes of which each diode operates up to 8 Gb/s. The single element is vertical and top illuminated 30μm-di... We describe the structure and testing of one-dimensional array parallel-optics photo-detectors with 16 photodiodes of which each diode operates up to 8 Gb/s. The single element is vertical and top illuminated 30μm-diameter silicon on insulator (Ge-on-SOI) PIN photodetector. High-quality Ge absorption layer is epitaxially grown on SO1 substrate by the ultra-high vacuum chemical vapor deposition (UHV-CVD). The photodiode exhibits a good responsivity of 0.20 A/W at a wavelength of 1550 nm. The dark current is as low as 0.36/aA at a reverse bias of 1 V, and the corresponding current density is about 51 mA/cm2. The detector with a diameter of 30 t.trn is measured at an incident light of 1.55 μm and 0.5 mW, and the 3-dB bandwidth is 7.39 GHz without bias and 13.9 GHz at a reverse bias of 3 V. The 16 devices show a good consistency. 展开更多
关键词 surface illuminate line array optical telecommunication parallel optical interconnects
下载PDF
Deposition of Cu seed layer film by supercritical fluid deposition for advanced interconnects
10
作者 赵斌 赵明涛 +1 位作者 张艳飞 杨俊和 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第6期435-439,共5页
The deposition of a Cu seed layer film is investigated by supercritical fluid deposition (SCFD) using H2 as a reducing agent for Bis(2,2,6,6-tetramethyl-3,5- heptanedionato) copper in supercritical CO2 (scCO2). ... The deposition of a Cu seed layer film is investigated by supercritical fluid deposition (SCFD) using H2 as a reducing agent for Bis(2,2,6,6-tetramethyl-3,5- heptanedionato) copper in supercritical CO2 (scCO2). The effects of deposition temperature, precursor, and H2 concentration are investigated to optimize Cu deposition. Continuous metallic Cu films are deposited on Ru substrates at 190 ℃ when a 0.002 mol/L Cu precursor is introduced with 0.75 mol/L H2. A Cu precursor concentration higher than 0.002 mol/L is found to have negative effects on the surface qualities of Cu films. For a H2 concentration above 0.56 mol/L, the root-mean-square (RMS) roughness of a Cu film decreases as the H2 concentration increases. Finally, a 20-nm thick Cu film with a smooth surface, which is required as a seed layer in advanced interconnects, is successfully deposited at a high H2 concentration (0.75 tool/L). 展开更多
关键词 supercritical CO2 CU film seed layer Cu interconnects
下载PDF
Analysis of on-chip distributed interconnects based on Pade expansion
11
作者 Xiaopeng JI Long GE Zhiquan WANG 《控制理论与应用(英文版)》 EI 2009年第1期92-96,共5页
In this paper, on-chip interconnects are modeled as distributed parameter RLCG transmission lines, based on which the matrix ABCD of interconnects is deduced. With help of the ABCD matrix, a voltage transfer function ... In this paper, on-chip interconnects are modeled as distributed parameter RLCG transmission lines, based on which the matrix ABCD of interconnects is deduced. With help of the ABCD matrix, a voltage transfer function of an interconnect system, consisting of a driver, interconnect line and load, is obtained analytically in the form of a transcendental function, and it is reduced to a finite order system based on high order Pade approximation. With the reduced-order transfer function, response waveforms with step input can be obtained, and signal delay can be calculated consequently. Two numerical experiments are conducted to demonstrate its efficiency. 展开更多
关键词 Distributed interconnects ABCD matrix Transfer function Pade expansion Response waveform Signal delay
下载PDF
Review: Perspectives on the metallic interconnects for solid oxide fuel cells 被引量:2
12
作者 ZHUWei-zhong YANMi 《Journal of Zhejiang University Science》 CSCD 2004年第12期1471-1503,共33页
The various stages and progress in the development of interconnect materials for solid oxide fuel cells (SOFCs )over the last two decades are reviewed. The criteria for the application of materials as interconnects ar... The various stages and progress in the development of interconnect materials for solid oxide fuel cells (SOFCs )over the last two decades are reviewed. The criteria for the application of materials as interconnects are highlighted. Interconnects based on lanthanum chromite ceramics demonstrate many inherent drawbacks and therefore are only useful for SOFCs operating around 1000℃. The advance in the research of anode-supported flat SOFCs facilitates the replacement of ceramic interconnects with metallic ones due to their significantly lowered working temperature. Besides, interconnects made of metals or alloys offer many advantages as compared to their ceramic counterpart. The oxidation response and thermal expansion behaviors of various prospective metallic interconnects are examined and evaluated. The minimization of contact resistance to achieve desired and reliable stack performance during their projected lifetime still remains a highly challenging issue with metallic interconnects. Inexpensive coating materials and techniques may play a key role in promoting the commercialization of SOFC stack whose interconnects are constructed of some current commercially available alloys. Alternatively, development of new metallic materials that are capable of forming stable oxide scales with sluggish growth rate and sufficient electrical conductivity is called for. 展开更多
关键词 陶瓷工业 固态氧化物燃料 SOFCS 金属材料 环境友好燃料
下载PDF
Coordinated planning for flexible interconnection and energy storage system in low-voltage distribution networks to improve the accommodation capacity of photovoltaic 被引量:2
13
作者 Jiaguo Li Lu Zhang +1 位作者 Bo Zhang Wei Tang 《Global Energy Interconnection》 EI CSCD 2023年第6期700-713,共14页
The increasing proportion of distributed photovoltaics(DPVs)and electric vehicle charging stations in low-voltage distribution networks(LVDNs)has resulted in challenges such as distribution transformer overloads and v... The increasing proportion of distributed photovoltaics(DPVs)and electric vehicle charging stations in low-voltage distribution networks(LVDNs)has resulted in challenges such as distribution transformer overloads and voltage violations.To address these problems,we propose a coordinated planning method for flexible interconnections and energy storage systems(ESSs)to improve the accommodation capacity of DPVs.First,the power-transfer characteristics of flexible interconnection and ESSs are analyzed.The equipment costs of the voltage source converters(VSCs)and ESSs are also analyzed comprehensively,considering the differences in installation and maintenance costs for different installation locations.Second,a bilevel programming model is established to minimize the annual comprehensive cost and yearly total PV curtailment capacity.Within this framework,the upper-level model optimizes the installation locations and capacities of the VSCs and ESSs,whereas the lower-level model optimizes the operating power of the VSCs and ESSs.The proposed model is solved using a non-dominated sorting genetic algorithm with an elite strategy(NSGA-II).The effectiveness of the proposed planning method is validated through an actual LVDN scenario,which demonstrates its advantages in enhancing PV accommodation capacity.In addition,the economic benefits of various planning schemes with different flexible interconnection topologies and different PV grid-connected forms are quantitatively analyzed,demonstrating the adaptability of the proposed coordinated planning method. 展开更多
关键词 Low-voltage distribution network Photovoltaic accommodation Flexible interconnection Energy storage system Bilevel programming
下载PDF
Current sustainability and electromigration of Pd,Sc and Y thin-films as potential interconnects
14
作者 Yong Yang Shengyong Xu +1 位作者 Sishen Xie Lian-Mao Peng 《Nano-Micro Letters》 SCIE EI CAS 2010年第3期184-189,共6页
The progress on novel interconnects for carbon nanotube(CNT)-based electronic circuit is by far behind the remarkable development of CNT-field effect transistors.The Cu interconnect material used in current integrated... The progress on novel interconnects for carbon nanotube(CNT)-based electronic circuit is by far behind the remarkable development of CNT-field effect transistors.The Cu interconnect material used in current integrated circuits seems not applicable for the novel interconnects,as it requires electrochemical deposition followed by chemical-mechanical polishing.We report our experimental results on the failure current density,resistivity,electromigration effect and failure mechanism of patterned stripes of Pd,Sc and Y thin-films,regarding them as the potential novel interconnects.The Pd stripes have a failure current density of(8~10)×106 A/cm^2(MA/cm^2),and they are stable when the working current density is as much as 90% of the failure current density.However,they show a resistivity around 210 μΩ·cm,which is 20 times of the bulk value and leaving room for improvement.Compared to Pd,the Sc stripes have a similar resistivity but smaller failure current density of 4~5 MA/cm^2.Y stripes seem not suitable for interconnects by showing even lower failure current density than that of Sc and evidence of oxidation.For comparison,Au stripes of the same dimensions show a failure current density of 30 MA/cm^2 and a resistivity around 4 μΩ·cm,making them also a good material as novel interconnects. 展开更多
关键词 Carbon nanotube-based field effect transistors Carbon nanotube-based circuit interconnects Current density ELECTROMIGRATION RESISTIVITY
下载PDF
Simplified Model of a Layer of Interconnects under a Spiral Inductor
15
作者 Sonia M. Holik Timothy D. Drysdale 《Journal of Electromagnetic Analysis and Applications》 2011年第6期187-190,共4页
An empirical effective medium approximation that provides a homogeneous equivalent for a layer of interconnects un-derneath a spiral inductor is presented. When used as part of a numerical 3D model of the inductor, th... An empirical effective medium approximation that provides a homogeneous equivalent for a layer of interconnects un-derneath a spiral inductor is presented. When used as part of a numerical 3D model of the inductor, this approach yields a faster simulation that uses less memory, yet still predicts the quality factor and inductance to within 1%. We expect this technique to find use in the electromagnetic modeling of System-on-Chip. 展开更多
关键词 EFFECTIVE MEDIUM THEORY interconnects SPIRAL INDUCTOR
下载PDF
Review of trans-Mediterranean power grid interconnection:a regional roadmap towards energy sector decarbonization 被引量:1
16
作者 Kaifeng Yu Paul van Son 《Global Energy Interconnection》 EI CAS CSCD 2023年第1期115-126,共12页
Climate change is becoming an important issue in all fields of infrastructure development.Electricity plays a core role in the decarbonized energy system’s path to a regional zero-emission pattern.A well-built trans-... Climate change is becoming an important issue in all fields of infrastructure development.Electricity plays a core role in the decarbonized energy system’s path to a regional zero-emission pattern.A well-built trans-Mediterranean backbone grid can hedge the profound evolution of regional power generation,transmission,and consumption.To date,only Turkey and the Maghreb countries(i.e.,Morocco,Algeria,and Tunisia)are connected with the Continental European Synchronous Area.Other south-and east-shore countries have insufficient interconnection infrastructures and synchronization difficulties that have proven to be major hurdles to the implementation of large-scale solar and wind projects and achievement of climate goals.This study analyzes the current trans-boundary grid interconnections and power and carbon emission portfolios in the Mediterranean region.To align with the recently launched new climate target‘Fit for 55’program and the accelerated large-scale renewables target,a holistic review of projected trans-Mediterranean grids and their market,technical,and financial obstacles of implementation was conducted.For south-and east-shore countries,major legal and regulatory barriers encompassing non-liberalized market structure,regulation gaps of taxation and transmission tariffs,and the private sector’s access rights need to be removed.Enhancement of domestic grids,substations,and harmonized grid codes and frequency,voltage,and communication technology standards among all trans-Mediterranean countries are physical prerequisites for implementing the Trans-Mediterranean Electricity Market.In addition,the mobilization of capital instruments along with private and international investments is indispensable for the realization of supranational transmission projects.As the final section of the decarbonization roadmap,the development of electric appliances,equipment,and vehicles with higher efficiency is inevitable in the decarbonized building,transportation,and industry sectors. 展开更多
关键词 Mediterranean Grid interconnection Regional roadmap Power system Decarbonization Climate change
下载PDF
Quasi-Dynamic Green’s Functions for Efficient Full-Wave Integral Formulations for Microstrip Interconnects
17
作者 Andrea G. Chiariello Antonio Maffucci 《Journal of Electromagnetic Analysis and Applications》 2012年第2期69-76,共8页
Integral formulations are widely used for full-wave analysis of microstrip interconnects. A weak point of these formulations is the inclusion of the proper planar-layered Green’s Functions (GFs), because of their com... Integral formulations are widely used for full-wave analysis of microstrip interconnects. A weak point of these formulations is the inclusion of the proper planar-layered Green’s Functions (GFs), because of their computational cost. To overcome this problem, usually the GFs are decomposed into a quasi-dynamic term and a dynamic one. Under suitable approximations, the ?rst may be given in closed form, whereas the second is approximated. Starting from a general criterion for this decomposition, in this paper we derive some simple criteria for using the closed-form quasi-dynamic GFs instead of the complete GFs, with reference to the problem of evaluating the full-wave current distribution along microstrips. These criteria are based on simple relations between frequency, line length, dielectric thickness and permittivity. The layered GFs have been embedded into a full-wave transmission line model and the results are ?rst benchmarked with respect to a full-wave numerical 3D tool, then used to assess the proposed criteria. 展开更多
关键词 Full-Wave MODELS Green’s FUNCTION INTEGRAL Formulations MICROSTRIP interconnects
下载PDF
Short-Range Optical Wireless Communications for Indoor and Interconnects Applications
18
作者 WANG Ke AmpalavanapiUai Nirmalathas +4 位作者 Christina Lim SONG Tingting LIANG Tian Kamal Alameh Efstratios Skafidas 《ZTE Communications》 2016年第2期13-22,共10页
Optical wireless communications have been widely studied during the past decade in short-range applications, such as indoor highspeed wireless networks and interconnects in data centers and high-performance computing.... Optical wireless communications have been widely studied during the past decade in short-range applications, such as indoor highspeed wireless networks and interconnects in data centers and high-performance computing. In this paper, recent developments in high-speed short-range optical wireless communications are reviewed, including visible light communications (VLCs), infrared indoor communication systems, and reconfigurable optical interconnects. The general architecture of indoor high-speed optical wireless communications is described, and the advantages and limitations of both visible and infrared based solutions are discussed. The concept of reconfigurable optical interconnects is presented, and key results are summarized. In addition, the challenges and potential future directions of short-range optical wireless communications are discussed. 展开更多
关键词 indoor infrared communications optical wireless communications reconfigurable optical interconnects visible light communica-tions
下载PDF
Mechanics of nonbuckling interconnects with prestrain for stretchable electronics
19
作者 Zixuan LU Liang GUO Hongyu ZHAO 《Applied Mathematics and Mechanics(English Edition)》 SCIE EI CSCD 2021年第5期689-702,共14页
The performance of the flexibility and stretchability of flexible electronics depends on the mechanical structure design,for which a great progress has been made in past years.The use of prestrain in the substrate,cau... The performance of the flexibility and stretchability of flexible electronics depends on the mechanical structure design,for which a great progress has been made in past years.The use of prestrain in the substrate,causing the compression of the transferred interconnects,can provide high elastic stretchability.Recently,the nonbuckling interconnects have been designed,where thick bar replaces thin ribbon layout to yield scissor-like in-plane deformation instead of in-or out-of-plane buckling modes.The nonbuckling interconnect design achieves significantly enhanced stretchability.However,combined use of prestrain and nonbuckling interconnects has not been explored.This paper aims to study the mechanical behavior of nonbuckling interconnects bonded to the prestrained substrate analytically and numerically.It is found that larger prestrain,longer straight segment,and smaller arc radius yield smaller strain in the interconnects.On the other hand,larger prestrain can also cause larger strain in the interconnects after releasing the prestrain.Therefore,the optimization of the prestrain needs to be found to achieve favorable stretchability. 展开更多
关键词 nonbuckling interconnect PRESTRAIN finite deformation stretchability stretchable electronics
下载PDF
Power Optimization Cooperative Control Strategy for Flexible Fast Interconnection Device with Energy Storage 被引量:1
20
作者 Mingming Shi Jun Zhang +2 位作者 Xuefeng Ge Juntao Fei Jiajun Tan 《Energy Engineering》 EI 2023年第8期1885-1897,共13页
With the wide application of renewable energy power generation technology,the distribution network presents the characteristics of multi-source and complex structure.There are potential risks in the stability of power... With the wide application of renewable energy power generation technology,the distribution network presents the characteristics of multi-source and complex structure.There are potential risks in the stability of power system,and the problem of power quality is becoming more and more serious.This paper studies and proposes a power optimization cooperative control strategy for flexible fast interconnection device with energy storage,which combines the flexible interconnection technology with the energy storage device.The primary technology is to regulate the active and reactive power of the converter.By comparing the actual power value of the converter with the reference value,the proportional integral(PI)controller is used for correction,and the current components of d and q axes are obtained and input to the converter as the reference value of the current inner loop.The control strategy in this paper can realize power mutual aid between feeders,and at the same time,the energy storage device can provide or absorb a certain amount of power for feeders,so that the power grid can realize stable operation in a certain range. 展开更多
关键词 Flexible interconnection energy storage cooperative control
下载PDF
上一页 1 2 250 下一页 到第
使用帮助 返回顶部