期刊文献+
共找到5,261篇文章
< 1 2 250 >
每页显示 20 50 100
基于DDS的数字脉冲电火花沉积电源研究 被引量:1
1
作者 刘宇 高洋 +3 位作者 张诗奇 杜姗 张生芳 王紫光 《电加工与模具》 北大核心 2024年第1期26-30,51,共6页
在电火花沉积加工中,脉冲电源的放电参数对沉积效果和加工效率有重要影响。针对传统电火花沉积电源放电参数可控性差的问题,基于直接数字频率合成(DDS)技术全数字化调制、输出稳定的特性,设计了一种数字脉冲电火花沉积电源。以单片机和... 在电火花沉积加工中,脉冲电源的放电参数对沉积效果和加工效率有重要影响。针对传统电火花沉积电源放电参数可控性差的问题,基于直接数字频率合成(DDS)技术全数字化调制、输出稳定的特性,设计了一种数字脉冲电火花沉积电源。以单片机和DDS芯片为核心来控制斩波电路输出加工脉冲,可实现放电电压、频率等参数的较精确控制以及宽范围内的无极调节。经测试,控制及驱动模块输出信号稳定、准确且频率误差在1 Hz以内,放电电压波形可控性好,满足电火花沉积加工的要求,可用于电火花沉积加工基础研究。 展开更多
关键词 电火花沉积 dds技术 脉冲电源 可控性
下载PDF
基于改进DDS的应答器动态检测系统2FSK调制器设计
2
作者 朱明勋 吕旌阳 许庆阳 《中国铁路》 北大核心 2024年第4期85-91,共7页
基于高速综合检测列车平台的应答器动态检测系统可实现对应答器相关设备性能的实时检测。为测试车载应答器动态检测系统的性能,需产生高精度可控波特率和载频的调制信号(2FSK)作为上行链路信号。基于直接数字频率合成器(DDS)技术,通过... 基于高速综合检测列车平台的应答器动态检测系统可实现对应答器相关设备性能的实时检测。为测试车载应答器动态检测系统的性能,需产生高精度可控波特率和载频的调制信号(2FSK)作为上行链路信号。基于直接数字频率合成器(DDS)技术,通过对相位累加模块和相幅转换模块进行设计,提出分段相位累加算法,计算同周期内相位增量和码元跳变处的相位增量;通过改进的坐标旋转数字计算方法(CORDIC)实时计算对应的信号幅值,用数字模拟转换器(DAC)将离散信号转换为模拟信号,从而实现频偏和数据速率可变且相位连续的信号调制。在相幅转换模块设计中,采用改进CORDIC算法替代传统的查表法,将相位增量转换成信号幅度,有效减少了现场可编程逻辑门阵列(FPGA)存储资源的开销。基于改进DDS的2FSK调制器设计方法,可为应答器动态检测系统提供高精度可控波特率和载频的2FSK调制信号,保证了应答器动态检测系统校准的准确性。 展开更多
关键词 应答器动态检测系统 2FSK dds 分段相位累加 CORDIC FPGA
下载PDF
梅山钢铁焦炉煤气DDS生物脱硫技术的应用研究
3
作者 王军 《低碳世界》 2024年第5期10-12,共3页
2022年,上海梅山钢铁股份有限公司焦炉煤气脱硫脱氰(desulfurization and decyanation sorbent,DDS)生物脱硫技术项目成功建设并投入运行。基于此,概述DDS生物脱硫技术的技术核心、技术原理、工艺流程及现场布置,深入分析DDS生物脱硫技... 2022年,上海梅山钢铁股份有限公司焦炉煤气脱硫脱氰(desulfurization and decyanation sorbent,DDS)生物脱硫技术项目成功建设并投入运行。基于此,概述DDS生物脱硫技术的技术核心、技术原理、工艺流程及现场布置,深入分析DDS生物脱硫技术的实际应用与优化、应用效果及应用前景,对项目建设及运行过程中出现的问题进行分析、总结与完善,以期提高企业自身的技术水平,并为其他企业选择煤气脱硫工艺提供了参考。 展开更多
关键词 焦炉煤气 dds 生物脱硫
下载PDF
基于DDS+PLL的频率合成方法研究
4
作者 王锋 郭中会 +2 位作者 庞洋 张一萌 陈鹏 《环境技术》 2024年第4期155-159,共5页
针对传统的PLL在小数分频时引起的边界杂散问题,采用DDS作为PLL的参考时钟,通过动态调整DDS的输出频率及PLL的整数分频比,使PLL在整数分频模式下仍具有小步进的合成频率精度。经过理论分析和实测实验验证了方案的可行性,实测实验表明,采... 针对传统的PLL在小数分频时引起的边界杂散问题,采用DDS作为PLL的参考时钟,通过动态调整DDS的输出频率及PLL的整数分频比,使PLL在整数分频模式下仍具有小步进的合成频率精度。经过理论分析和实测实验验证了方案的可行性,实测实验表明,采用DDS+PLL方案合成频率可以有效解决整数边界杂散,并实现了最大9.31 Hz的频率步进精度。 展开更多
关键词 小数分频 边界杂散 dds激励PLL 小步进 频率精度
下载PDF
基于DDS的分布式仿真平台接口设计
5
作者 张同 陈聪 惠慧 《机电工程技术》 2024年第6期156-161,共6页
为了充分利用MATLAB/Simulink仿真软件的建模功能并与其他仿真软件建立的机电系统模型进行数据交互,从而实现对分布在不同仿真节点的多电飞机各机电系统进行分布式协同仿真。详细介绍了分布式仿真平台的运行管理机制与DDS数学通信模型,... 为了充分利用MATLAB/Simulink仿真软件的建模功能并与其他仿真软件建立的机电系统模型进行数据交互,从而实现对分布在不同仿真节点的多电飞机各机电系统进行分布式协同仿真。详细介绍了分布式仿真平台的运行管理机制与DDS数学通信模型,建立了MATLAB/Simulink仿真软件接口架构,通过编程设计将MATLAB/Simulink软件集成于基于DDS的分布式仿真平台上,并利用该仿真软件对其他机电系统仿真模型进行算法控制建模,从而实现多电飞机各机电系统的联合仿真。验证结果表明,该数据接口能够实现MATLAB/Simulink仿真模型与分布式仿真平台上其他仿真模型的数据交互与指令调控,进一步拓宽了分布式仿真平台的规模,完善了多电飞机的系统功能,为接下来实现对多电飞机机电系统分布式协同仿真虚拟监控打下坚实基础。 展开更多
关键词 分布式协同仿真 dds MATLAB/SIMULINK 接口设计
下载PDF
基于DDS中间件实现分布式系统的热备份技术
6
作者 郭建忠 赵昶宇 《科技与创新》 2024年第14期130-132,共3页
为实现分布式系统中多个设备的热备份,提出了一种分布式系统的热备份方法。通过以太网和DDS(Data Distribution Service,数据分发服务)中间件技术,使得分布式系统中所有设备节点能够动态地发现彼此,根据主题、数据类型及服务质量策略进... 为实现分布式系统中多个设备的热备份,提出了一种分布式系统的热备份方法。通过以太网和DDS(Data Distribution Service,数据分发服务)中间件技术,使得分布式系统中所有设备节点能够动态地发现彼此,根据主题、数据类型及服务质量策略进行匹配,只有匹配成功后才会建立通信连接。一旦检测到系统中某个设备节点不可用时,用其他设备节点替换当前故障的设备节点,重新匹配和建立通信连接,从而实现设备节点的热备份。此方法不需要采用仲裁机构,易于实现,具有成本低、可靠性高、多机间相互热备份等优点,降低了分布式系统的冗余度,最大限度保证了分布式系统网络的实时性、可用性和可靠性。 展开更多
关键词 dds中间件 动态发现 分布式系统 热备份
下载PDF
基于DDS的分布式自动航空电子测试系统的设计和实现
7
作者 刘绚 孙孟宇 沈强 《电脑编程技巧与维护》 2024年第2期18-20,64,共4页
针对综合化航电系统测试数据量大、测试控制复杂的问题,设计开发了基于数据分发服务(DDS)的自动分布式航空电子测试系统,以实现分布式测试控制和自动测试控制。同时定义了基于IEEE1671的测试接口定义,用于为用户提供统一的测试接口。
关键词 航电系统 自动测试 dds架构
下载PDF
基于DDS协议的离岸船舶实时定位方法
8
作者 张罡 《舰船科学技术》 北大核心 2023年第10期156-159,共4页
为提升离岸船舶实时定位效果、确保船舶航行安全,提出基于DDS协议的离岸船舶实时定位方法。在离岸船舶上安装移动阅读器,在航道两侧安装固定标签。利用改进加权质心定位算法,结合移动阅读器与固定标签间接收信号强度值,计算移动阅读器... 为提升离岸船舶实时定位效果、确保船舶航行安全,提出基于DDS协议的离岸船舶实时定位方法。在离岸船舶上安装移动阅读器,在航道两侧安装固定标签。利用改进加权质心定位算法,结合移动阅读器与固定标签间接收信号强度值,计算移动阅读器的位置信息,即离岸船舶实时位置信息。利用DDS协议信息分发单元启动分发服务,将位置信息传输至全局数据空间内,再利用空间内的缓存移动阅读器发布实时位置信息。最后,服务器通过调用DDS接口订阅或退订全局数据空间内缓存的信息,并由显示器呈现订阅的离岸船舶实时位置信息。实验证明:该方法可精准实时定位离岸船舶;当信息分发量不同时,该方法的信息分发丢包率较低。 展开更多
关键词 dds协议 离岸船舶 实时定位 移动阅读器 固定标签
下载PDF
包裹有CTA1-DD蛋白的OCS-DS纳米颗粒的制备及佐剂活性
9
作者 秦竹 陈瑾 +7 位作者 侯立婷 乔绪稳 李兰 杨利 杜露平 于晓明 张元鹏 郑其升 《江苏农业学报》 CSCD 北大核心 2024年第1期141-148,共8页
重组CTA1-DD蛋白具有与完整CT分子相当的全身性和黏膜佐剂功能,但在复杂的生理环境中易被酶或酸降解。本研究以同样具有佐剂活性的O-羧甲基壳聚糖(OCS)和硫酸葡聚糖(DS)为载体,通过离子交联形成纳米颗粒,将CTA1-DD蛋白嵌入其中,使其得... 重组CTA1-DD蛋白具有与完整CT分子相当的全身性和黏膜佐剂功能,但在复杂的生理环境中易被酶或酸降解。本研究以同样具有佐剂活性的O-羧甲基壳聚糖(OCS)和硫酸葡聚糖(DS)为载体,通过离子交联形成纳米颗粒,将CTA1-DD蛋白嵌入其中,使其得到稳定保护。包裹有CTA1-DD蛋白的OCS-DS纳米颗粒的粒径为50~150 nm,Zeta电位约-50 mV,质量浓度1.0 mg/ml的CTA1-DD蛋白投入制备的包裹有CTA1-DD蛋白的OCS-DS纳米颗粒载药率25.33%,包封率86.56%。体外模拟释放试验结果显示CTA1-DD蛋白可在7 d内缓慢释放。将CTA1-DD蛋白与PRV灭活抗原混合后,接种至小鼠鼻腔,结果表明,包裹有CTA1-DD蛋白的OCS-DS纳米颗粒能够同时诱导更高的血清IgG抗体和黏膜IgA抗体表达,证明了其作为黏膜佐剂的高效性。 展开更多
关键词 CTA1-dd蛋白 O-羧甲基壳聚糖 硫酸葡聚糖 纳米颗粒 佐剂活性
下载PDF
基于FPGA的DDS信号发生器设计 被引量:5
10
作者 董殿国 侯文 《电子制作》 2023年第1期16-18,78,共4页
函数信号发生器作为信号源,广泛的应用于课堂教学,研究试验和工业生产等各个领域。通过MCU与FPGA的硬件结合方式,由MCU负责输入输出的人机交互控制,FPGA负责实现核心DDS技术。然后对输出的波形做相关性能测试实验,正弦波的THD计算和三... 函数信号发生器作为信号源,广泛的应用于课堂教学,研究试验和工业生产等各个领域。通过MCU与FPGA的硬件结合方式,由MCU负责输入输出的人机交互控制,FPGA负责实现核心DDS技术。然后对输出的波形做相关性能测试实验,正弦波的THD计算和三角波的线性度计算。测试结果表明,该信号发生器参数可调范围宽,精度高,稳定可靠,具有一定的实用价值。同时说明了MCU与FPGA联合使用的这种硬件框架是稳定可靠的,是可以应用到大部分的微控制系统中的,也为进一步应用提供了重要的参考依据。 展开更多
关键词 dds FPGA MCU 信号发生器
下载PDF
基于边缘计算DDS动态域标识近距轮询网络应用研究 被引量:1
11
作者 苏为斌 王首正 +3 位作者 董家瑞 陈巍 杨捷 张锦盛 《自动化博览》 2023年第2期62-66,共5页
智能汽车、无人机或工业智能化生产线,在边缘计算提供的共享式传感网和共享式算力资源帮助下,能够有效降低成本,扩大感知范围。传统的通信网络虽然实现了全球统一的广域连接,但在动态更新局部域通信过程中,远距离的传感器对于受控对象... 智能汽车、无人机或工业智能化生产线,在边缘计算提供的共享式传感网和共享式算力资源帮助下,能够有效降低成本,扩大感知范围。传统的通信网络虽然实现了全球统一的广域连接,但在动态更新局部域通信过程中,远距离的传感器对于受控对象所处空间控制的意义并不大。为了提高区域通信的实时响应,通信网络需要随着汽车、无人机或生产线物流的空间位置变化进行动态局部组网。基于此,本文介绍了一种新型的边缘计算分布式通信网络,提出了动态更新域标识架构,该架构内的各终端节点根据空间所处位置自动地构造局域通信簇,并基于该簇进行动态轮询访问。该方法能够实现近距共享传感器和人工智能算力,降低了单机智能化成本,对于人工智能项目落地和技术推广具有一定的促进意义。 展开更多
关键词 边缘计算 dds 域标识 人工智能
下载PDF
基于DDS相位搜索的数字阵列通道幅相标校技术
12
作者 周文涛 《电讯技术》 北大核心 2023年第6期837-842,共6页
在大型数字相控阵系统中,为了满足阵列增益及天线方向图特性,需要保证阵列通道的幅度和相位的一致性,而动态不一致性标校是大型阵列的标校难题。提出了一种基于直接数字频率合成(Direct Digital Synthesizer,DDS)相位搜索算法的数字相... 在大型数字相控阵系统中,为了满足阵列增益及天线方向图特性,需要保证阵列通道的幅度和相位的一致性,而动态不一致性标校是大型阵列的标校难题。提出了一种基于直接数字频率合成(Direct Digital Synthesizer,DDS)相位搜索算法的数字相控阵通道一致性标校技术,较传统基于相关算法的标校技术,可有效降低对标校信号信噪比的要求,且可提升大规模数字阵列通道标校的效率。仿真结果表明,当信噪比等于0 dB时,采用所提算法可将幅度估计误差的均方根误差(Root Mean Square Error,RMSE)值控制在0.3 dB以内,相位估计误差的RMSE值可控制在1.5°以内,较传统算法的性能均提升了3倍。通过搭建样机评估系统,进一步验证了提出算法对数字相控阵通道一致性标校性能的提升。 展开更多
关键词 数字相控阵 dds相位搜索 幅相标校
下载PDF
基于DDS的8路音频相控阵测试信号源的设计与实现
13
作者 崔博凯 温今凡 温怀疆 《电子制作》 2023年第5期8-15,共8页
信号源主控采用STM32,波形由两片AD9959产生,经时钟同步处理后输出满足需求的波形。该信号源可通过人机交互界面用来对信号源各通道的频率、相位、幅度参数进行调整。通过测试,该信号源能够输出8路频率、相位、幅度可控的正弦波形,同时... 信号源主控采用STM32,波形由两片AD9959产生,经时钟同步处理后输出满足需求的波形。该信号源可通过人机交互界面用来对信号源各通道的频率、相位、幅度参数进行调整。通过测试,该信号源能够输出8路频率、相位、幅度可控的正弦波形,同时还可以提供多种加权方式的组合信号输出,可以较好地满足相控扬声器阵列的声场测试的需求。 展开更多
关键词 信号源 dds 单片机 相控阵
下载PDF
基于DDS信号和插值法的FBG解调系统研究
14
作者 潘睿智 冯艳 +2 位作者 张洪溥 王昊祥 张华 《电子测量技术》 北大核心 2023年第24期6-13,共8页
针对F-P滤波器解调法容易产生漂移与校正成本较高的问题,本文采用FPGA和光开关搭建了F-P滤波器多通道共振解调系统,该系统利用DDS信号生成特定的三角波驱动电压,通过串联多维参考FBG实现基于插值法对波长-时间的动态标定,设定了3种动态... 针对F-P滤波器解调法容易产生漂移与校正成本较高的问题,本文采用FPGA和光开关搭建了F-P滤波器多通道共振解调系统,该系统利用DDS信号生成特定的三角波驱动电压,通过串联多维参考FBG实现基于插值法对波长-时间的动态标定,设定了3种动态标定模式,包含线性关系和二次关系的标定。利用FPGA控制光开关实现快速切换模式与参考波长、待测波长信号的分步采集,3种模式100 Hz平均偏差分别为0.02802 nm、0.01814 nm、0.0109 nm。研究结果表明,在单位电压(1 V)下,相对于静态标定,误差降低45%以上,提高解调精度并且降低校正成本,同时解决参考与待测波长数值过近时容易导致的误判问题。 展开更多
关键词 FBG dds信号 F-P滤波器 动态标定 切换通道 插值
下载PDF
基于FPGA的DDS信号发生器设计
15
作者 吴友兰 《新潮电子》 2023年第5期40-42,共3页
本文介绍一种基于FPGA来实现信号发生器的解决方案,文中详细讲解了DDS的工作原理,以及如何修改频率控制字和相位控制字来产生不同频率相位的波形,然后通过上板测试验证设计方案的有效性和可靠性。
关键词 dds FPGA 信号发生器 AD9708
下载PDF
基于DDS的焦炉煤气脱硫安全技术的应用分析
16
作者 陈安才 《山西化工》 CAS 2023年第10期178-179,182,共3页
为了解决传统PDS脱硫工艺流程复杂、污染性大、经济性差的不足,提出了一种基于DDS的焦炉煤气脱硫安全技术。利用含有DD脱硫液来吸收煤气中的硫元素,最后再利用氧化再生原理使硫元素转换为单质硫。根据应用表明,新的脱硫技术能够将脱硫... 为了解决传统PDS脱硫工艺流程复杂、污染性大、经济性差的不足,提出了一种基于DDS的焦炉煤气脱硫安全技术。利用含有DD脱硫液来吸收煤气中的硫元素,最后再利用氧化再生原理使硫元素转换为单质硫。根据应用表明,新的脱硫技术能够将脱硫效率提升17.9%,将焦炉煤气中的硫质量浓度降低到10 mg/m^(3)以下。 展开更多
关键词 PDS脱硫 dds脱硫 催化剂 单质硫
下载PDF
融合波形调制功能的DDS信号发生器设计
17
作者 吴旭 杨虹 《电子制作》 2023年第13期84-86,共3页
直接数字频率合成(DDS)是一种非常火爆的频率合成技术,该技术通过编程来控制产生信号的频率和相位。现场可编辑门阵列(FPGA)是当下最具发展前景的半导体器件之一,它能够根i据l所需的功能要求对硬件电路进行现场编程和修改,是半导体器件... 直接数字频率合成(DDS)是一种非常火爆的频率合成技术,该技术通过编程来控制产生信号的频率和相位。现场可编辑门阵列(FPGA)是当下最具发展前景的半导体器件之一,它能够根i据l所需的功能要求对硬件电路进行现场编程和修改,是半导体器件研究的焦点。本文将二者进行完美结合,在FPGA硬件基础上,采用Ver础上添加ASK、FSK和PSK波形调制模块,最后输出的波形稳定,具有一定的使用价值和远大的应用前景。 展开更多
关键词 dds FPGA 波形调制 信号发生器
下载PDF
基于DDS传输的较大数据包粘包的处理方法
18
作者 蒋培培 吴昊 钱进 《无线互联科技》 2023年第24期113-115,132,共4页
基于用户数据协议(UDP)的数据分发服务(DDS)传输是一种广泛应用在实时分布式系统的通信框架。然而,DDS发送较大数据包时可能产生UDP粘包问题。为解决这一问题,文章对比使用循环缓存和队列缓存方式存储DDS数据包的优缺点,给出了改进循环... 基于用户数据协议(UDP)的数据分发服务(DDS)传输是一种广泛应用在实时分布式系统的通信框架。然而,DDS发送较大数据包时可能产生UDP粘包问题。为解决这一问题,文章对比使用循环缓存和队列缓存方式存储DDS数据包的优缺点,给出了改进循环缓存存储方式,并提出了一种拆包粘包的数据处理方法。结果可以得到完整正确的DDS数据包,说明了该方法的有效性。 展开更多
关键词 dds 较大数据包 UDP粘包 环形缓存 拆包粘包
下载PDF
在DDS中间件上实现双冗余网卡切换的方法
19
作者 赵昶宇 《科技与创新》 2023年第17期95-97,共3页
为满足各种分布式实时通信的应用需求,在VxWorks系统中采用DDS(Data Distribution Service,数据分发服务)中间件实现了分布式系统中的信息共享和节点的自动发现,保证了系统的健壮性和可扩展性。但是,由于VxWorks系统中采用双冗余网卡工... 为满足各种分布式实时通信的应用需求,在VxWorks系统中采用DDS(Data Distribution Service,数据分发服务)中间件实现了分布式系统中的信息共享和节点的自动发现,保证了系统的健壮性和可扩展性。但是,由于VxWorks系统中采用双冗余网卡工作,利用传统的网卡切换方法在任一网卡故障时会导致DDS网络通讯中断。为了解决上述问题,阐述了DDS中间件技术的架构、通信模型和QoS(Quality of Service,服务质量)策略,提出了一种在DDS中间件上实现双冗余网卡切换的方法。该方法能够保证在VxWorks操作系统中双冗余网卡发生切换时DDS中间件仍然能正常进行网络通信,同时缩短网卡切换时间,提高网络数据传输的可靠性。 展开更多
关键词 VXWORKS系统 dds中间件 以太网 网卡切换
下载PDF
基于正弦数据压缩算法的DDS研究及FPGA实现
20
作者 闵令辉 曹晓东 +1 位作者 程凯 王哲 《计算机测量与控制》 2023年第2期269-276,283,共9页
针对直接数字频率合成器(DDS)芯片因存储空间开销大导致功耗增加,可靠性降低的问题,设计了一种将改进sunderland算法与QE-ROM技术相结合的一种用于直接数字频率合成器(DDS)的紧凑型16位精度正弦查找表(ROM);对所设计的正弦查表算法进行... 针对直接数字频率合成器(DDS)芯片因存储空间开销大导致功耗增加,可靠性降低的问题,设计了一种将改进sunderland算法与QE-ROM技术相结合的一种用于直接数字频率合成器(DDS)的紧凑型16位精度正弦查找表(ROM);对所设计的正弦查表算法进行了系统级仿真与硬件描述语言(Verilog HDL)实现,并最终在FPGA上进行了整体算法功能与性能的验证;基于AD5360芯片制作了一款多通道16位输出数模转换器(DAC),并搭载降压稳压芯片LM317和LM337实现了一款可以将220 V工频转换为DAC所需的±9 V和3.75 V的供电电源;测试结果显示,设计的正弦查找表算法在达到16位精度的同时,只占据8576 bit的存储空间;所使用的正弦数据优化算法相比较传统的DDS正弦波形发生器资源节省99.2%,实现了122:1的压缩比,有效降低了DDS的芯片面积和功耗。 展开更多
关键词 直接数字频率合成器 存储空间压缩 Sunderland算法 QE-ROM算法 数模转换器
下载PDF
上一页 1 2 250 下一页 到第
使用帮助 返回顶部