期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
Twist-Routing Algorithm for Faulty Network-on-Chips
1
作者 Kunwei Zhang Thomas Moscibroda 《Journal of Computer and Communications》 2016年第14期1-10,共11页
This paper introduces Twist-routing, a new routing algorithm for faulty on-chip networks, which improves Maze-routing, a face-routing based algorithm which uses deflections in routing, and archives full fault coverage... This paper introduces Twist-routing, a new routing algorithm for faulty on-chip networks, which improves Maze-routing, a face-routing based algorithm which uses deflections in routing, and archives full fault coverage and fast packet delivery. To build Twist-routing algorithm, we use bounding circles, which borrows the idea from GOAFR+ routing algorithm for ad-hoc wireless networks. Unlike Maze-routing, whose path length is unbounded even when the optimal path length is fixed, in Twist-routing, the path length is bounded by the cube of the optimal path length. Our evaluations show that Twist-routing algorithm delivers packets up to 35% faster than Maze-routing with a uniform traffic and Erdos-Rényi failure model, when the failure rate and the injection rate vary. 展开更多
关键词 Network-on-Chip (NoC) Fault-Tolerant Routing Maze-Routing Algorithm GOAFR+ Algorithm bounding circle
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部