期刊文献+
共找到265篇文章
< 1 2 14 >
每页显示 20 50 100
An Adaptive Ramp Generator for ADC Built-in Self-Test 被引量:1
1
作者 张娜 姚素英 张钰 《Transactions of Tianjin University》 EI CAS 2008年第3期178-181,共4页
An adaptive ramp generator based on linear histogram was proposed for the built-in selftest (BIST) of analog to digital convertor (ADC) in CMOS image sensor. By comparing the generated ramp signal to a reference volta... An adaptive ramp generator based on linear histogram was proposed for the built-in selftest (BIST) of analog to digital convertor (ADC) in CMOS image sensor. By comparing the generated ramp signal to a reference voltage and feeding back a calibration signal, the slope adjustment was implemented, and high linearity and precision of ramp slope were realized. By modulating the pulse width and reference voltage, sweep length varied from microsecond to second and signal swing could reach 3 V with 5.6 mW power consumption. The ramp was used as input to an ideal 10-bit single-slope ADC, and the corresponding DNL and INL were 0.032 LSB and 0.078 LSB, re-spectively. 展开更多
关键词 自适应发电机 自适应电路 内置自检验 积分电路
下载PDF
大规模芯片内嵌存储器的BIST测试方法研究
2
作者 葛云侠 陈龙 +3 位作者 解维坤 张凯虹 宋国栋 奚留华 《国外电子测量技术》 2024年第5期18-25,共8页
随着大规模芯片的块存储器(block random access memory,BRAM)数量不断增多,常见的存储器内建自测试(memory build-in-self test,Mbist)方法存在故障覆盖率低、灵活性差等问题。为此,提出了一种新的基于可编程有限状态机的Mbist方法,通... 随着大规模芯片的块存储器(block random access memory,BRAM)数量不断增多,常见的存储器内建自测试(memory build-in-self test,Mbist)方法存在故障覆盖率低、灵活性差等问题。为此,提出了一种新的基于可编程有限状态机的Mbist方法,通过3个计数器驱动的可编程Mbist控制模块和算法模块集成8种测试算法,提高故障覆盖率和灵活性。采用Verilog语言设计了所提出的Mbist电路,通过Modelsim对1 Kbit×36的BRAM进行仿真并在自动化测试系统上进行了实际测试。实验结果表明,该方法对BRAM进行测试能够准确定位故障位置,故障的检测率提高了15.625%,测试效率提高了26.1%,灵活性差的问题也得到了很大改善。 展开更多
关键词 大规模芯片 块存储器 存储器内建自测试 可编程存储器内建自测试控制器 故障覆盖率
下载PDF
基于新型BIST的LUT测试方法研究
3
作者 林晓会 解维坤 宋国栋 《现代电子技术》 北大核心 2024年第4期23-27,共5页
针对FPGA内部的LUT资源覆盖测试,提出一种新型BIST的测试方法。通过改进的LFSR实现了全地址的伪随机向量输入,利用构造的黄金模块电路与被测模块进行输出比较,实现对被测模块功能的快速测试,并在Vivado 2018.3中完成了仿真测试。通过AT... 针对FPGA内部的LUT资源覆盖测试,提出一种新型BIST的测试方法。通过改进的LFSR实现了全地址的伪随机向量输入,利用构造的黄金模块电路与被测模块进行输出比较,实现对被测模块功能的快速测试,并在Vivado 2018.3中完成了仿真测试。通过ATE测试平台,加载设计的BIST测试向量,验证结果与仿真完全一致,仅2次配置即可实现LUT的100%覆盖率测试。此外,还构建了LUT故障注入模拟电路,人为控制被测模块的输入故障,通过新型BIST的测试方法有效诊断出被测模块功能异常,实现了准确识别。以上结果表明,该方法不仅降低了测试配置次数,而且能够准确识别LUT功能故障,适用于大规模量产测试。 展开更多
关键词 查找表 内建自测试 FPGA 故障注入 线性反馈移位寄存器 自动测试设备
下载PDF
可兼容四种March系列算法的PMBIST电路设计
4
作者 杨鹏 曹贝 +1 位作者 付方发 王海新 《黑龙江大学自然科学学报》 CAS 2024年第2期242-252,共11页
存储器是系统级芯片(System on chip,SoC)中最重要的组成部分之一,也是最容易出现故障的部件。存储器故障可能会导致整个SoC失效,对存储器进行充分的测试和验证是至关重要的。目前,主流的存储器测试方法是采用存储器内建自测试(Memory b... 存储器是系统级芯片(System on chip,SoC)中最重要的组成部分之一,也是最容易出现故障的部件。存储器故障可能会导致整个SoC失效,对存储器进行充分的测试和验证是至关重要的。目前,主流的存储器测试方法是采用存储器内建自测试(Memory build-in-self test,MBIST)技术,传统的可测性技术采用单一的测试算法进行测试,为了满足不同类型存储器的测试需求以及不同工艺制造阶段的测试强度,需要使用不同类型的测试算法进行测试。结合存储器常见的故障模型以及多种测试算法,设计了具有较高灵活性和可扩展性的可编程存储器内建自测试(Programmable memory built-in-self test,PMBIST)电路,可兼容四种不同的March系列算法进行存储器内建自测试,采用寄存器传输语言(Reigster transfer language,RTL)级代码的编写方式,针对静态随机存储器(Static random-access memory,SRAM)采用不同March系列测试算法进行仿真,并以常用的March C+算法为例进行说明。仿真结果表明,所设计的PMBIST电路可对四种不同的March算法进行测试,满足不同类型存储器的内建自测试需求。 展开更多
关键词 静态随机存储器 故障模型 March系列+算法 存储器内建自测试
下载PDF
BOARD-LEVEL BUILT-IN SELF-REPAIR METHOD OF RAM 被引量:1
5
作者 DOU Yanjie Zhan Huiqin +1 位作者 Chen Yakun Shang Hongliang 《Journal of Electronics(China)》 2012年第1期128-131,共4页
This paper describes the method of built-in self-repairing of RAM on board, designs hardware circuit, and logic for the RAM's faults self-repairing system based on FPGA. The key technology is that it utilizes FPGA... This paper describes the method of built-in self-repairing of RAM on board, designs hardware circuit, and logic for the RAM's faults self-repairing system based on FPGA. The key technology is that it utilizes FPGA to test RAM according to some algorithm to find out failure memory units and replace the faulty units with FPGA. Then it can build a memory that has no fault concern to external controller, and realizes the logic binding between external controller and RAM. Micro Controller Unit (MCU) can operate external RAM correctly even if RAM has some fault address units. Conventional MCS-51 is used to simulate the operation of MCU operating external memory. Simulation shows FPGA can complete the faulty address units' mapping and MCU can normally read and write external RAM. This design realizes the RAM's built-in self-repairing on board. 展开更多
关键词 RAM testing built-in self-repairing Faulty address mapping Function test
下载PDF
AVAILABILITY MODEL FOR SELF TEST AND REPAIR IN FAULT TOLERANT FPGA-BASED SYSTEMS
6
作者 Shampa Chakraverty Anubhav Agarwal +1 位作者 Broteen Kundu Anil Kumar 《Journal of Electronics(China)》 2014年第4期271-283,共13页
Dynamically reconfigurable Field Programmable Gate Array(dr-FPGA) based electronic systems on board mission-critical systems are highly susceptible to radiation induced hazards that may lead to faults in the logic or ... Dynamically reconfigurable Field Programmable Gate Array(dr-FPGA) based electronic systems on board mission-critical systems are highly susceptible to radiation induced hazards that may lead to faults in the logic or in the configuration memory. The aim of our research is to characterize self-test and repair processes in Fault Tolerant(FT) dr-FPGA systems in the presence of environmental faults and explore their interrelationships. We develop a Continuous Time Markov Chain(CTMC) model that captures the high level fail-repair processes on a dr-FPGA with periodic online Built-In Self-Test(BIST) and scrubbing to detect and repair faults with minimum latency. Simulation results reveal that given an average fault interval of 36 s, an optimum self-test interval of 48.3 s drives the system to spend 13% of its time in self-tests, remain in safe working states for 76% of its time and face risky fault-prone states for only 7% of its time. Further, we demonstrate that a well-tuned repair strategy boosts overall system availability, minimizes the occurrence of unsafe states, and accommodates a larger range of fault rates within which the system availability remains stable within 10% of its maximum level. 展开更多
关键词 Dynamically reconfigurable Field Programmable Gate Array(dr-FPGA) built-in self-test(bist) Fault Tolerance(FT) Single Event Effects(SEEs) Continuous Time Markov Chain(CTMC) SCRUBBinG
下载PDF
A Novel BIST Approach for Testing Input/Output Buffers in SoCs
7
作者 Lei Chen Zhi-Ping Wen Zhi-Quan Zhang Min Wang 《Journal of Electronic Science and Technology of China》 2009年第4期322-325,共4页
A novel built-in self-test (BIST) approach to test the configurable input/output buffers in Xilinx Virtex series SoCs (system on a chip) using hard macro has been proposed in this paper. The proposed approach can ... A novel built-in self-test (BIST) approach to test the configurable input/output buffers in Xilinx Virtex series SoCs (system on a chip) using hard macro has been proposed in this paper. The proposed approach can completely detect single and multiple stuck-at gate-level faults as well as associated routing resources in I/O buffers. The proposed BIST architecture has been implemented and verified on Xilinx Virtex series FPGAs (field programmable gate configurations are required array). Only total of 10 to completely test the I/O buffers of Virtex devices. 展开更多
关键词 built-in self-test FPGA I/O buffers SoCs testing.
下载PDF
Testable Design and BIST Techniques for Systolic Motion Estimators in Transform Domain
8
作者 Shyue-Kung Lu Wei-Yuan Liu 《Journal of Electronic Science and Technology of China》 2009年第4期291-296,共6页
Testable design techniques for systolic motion estimators based on M-testability conditions are proposed in this paper. The whole motion estimator can be viewed as a two-dimensional iterative logic array (ILA) of pr... Testable design techniques for systolic motion estimators based on M-testability conditions are proposed in this paper. The whole motion estimator can be viewed as a two-dimensional iterative logic array (ILA) of processing elements (PEs) and multiplying elements (MULs). The functions of each PE and MUL are modified to be bijective to meet the M-testable conditions. The number of test patterns is 2^w, where w denotes the word length of a PE. The proposed testable design techniques are also suitable for built-in self-test implementation. According to experimental results, our approaches can achieve 99.27 % fault coverage. The area overhead is about 9 %. To verify our approaches, an experimental chip is also implemented. 展开更多
关键词 built-in self-test design for testability fault coverage motion estimator.
下载PDF
A vector inserting TPG for BIST design with low peak power consumption 被引量:2
9
作者 谈恩民 Song Shengdong Shi Wenkang 《High Technology Letters》 EI CAS 2007年第4期418-421,共4页
关键词 能量消耗 栅格 震荡器 反馈寄存器
下载PDF
Test access to deeply embedded analog terminals within an A/MS SoC
10
作者 NIARAKI Asli Rahebeh MIRZAKUCHAKI Sattar +1 位作者 NAVABI Zainalabedin RENOVELL Michel 《Journal of Zhejiang University-Science A(Applied Physics & Engineering)》 SCIE EI CAS CSCD 2007年第10期1543-1552,共10页
This paper presents a standard scalable and reconfigurable design for testability (SR DfT) in order to increase ac- cessibility to deeply embedded A/MS cores and to limit application of costly off-chip mixed-signal te... This paper presents a standard scalable and reconfigurable design for testability (SR DfT) in order to increase ac- cessibility to deeply embedded A/MS cores and to limit application of costly off-chip mixed-signal testers. SR DfT is an oscilla- tion-based wrapper compatible with digital embedded core-based SoC test methodologies. The impact of the optimized oscilla- tion-based wrapper design on MS SoC testing is evaluated in two directions: area and test time. Experimental results are presented for several SoCs from the ITC’02 test benchmarks with inclusion of eight analog filters. 展开更多
关键词 重建建筑物 模式测试 可测试性 脉冲转换
下载PDF
ON SHORTENING TEST SEQUENCE LENGTH FOR SIGNATURE ANALYZER
11
作者 丁瑾 胡健栋 《Journal of Electronics(China)》 1995年第2期151-159,共9页
Based on the built-in self-test for logic circuit, a new approach is proposed to reduce pseudorandom test length. After finding worst faults in the circuit and creating their circuit models the output signals of these... Based on the built-in self-test for logic circuit, a new approach is proposed to reduce pseudorandom test length. After finding worst faults in the circuit and creating their circuit models the output signals of these models will be compressed by linear feedback shift register. The test length for the worst faults can be obtained by analyzing compressed signature . Finally, using the relation between input probability and test length, we propose a new algorithm to shorten the test sequence length. So the optimum input probability and the shortest test length can be received. 展开更多
关键词 built-in self-test Worst FAULT SIGNATURE analysis Probability optimization
下载PDF
Low Cost BIST Scheme Using LFSR-RC Reseeding
12
作者 Bin Zhou Mingxue Huo Xinchun Wu 《Journal of Harbin Institute of Technology(New Series)》 EI CAS 2015年第3期57-62,共6页
A novel BIST scheme for reducing the test storage( TS) is presented. The proposed approach relies on a two-dimensional compression scheme,which combines the advantages of the previous LFSR reseeding scheme and test se... A novel BIST scheme for reducing the test storage( TS) is presented. The proposed approach relies on a two-dimensional compression scheme,which combines the advantages of the previous LFSR reseeding scheme and test set embedding technique based on ring counters( RCs) to improve the encoding efficiency. It presents a general method to determine the probability of encoding as a function of the number of specified bits in the test cube,the length of the LFSR and the width of the test set,and conclude that the probability of encoding a n-bit test cube with s specified bits using a( smax+ 1 + 20 / n)-stage LFSR with a fixed polynomial is1- 10-6. Experimental results for the ISCAS '89 benchmark circuits show that compared with the previous schemes,the proposed scheme based on LFSR-RC reseeding requires 57% less TS and 99. 1% test application time( TAT) with simple and uniform BIST control logic. 展开更多
关键词 built-in self-test linear feedback shift register(LFSR) ring counters(RCs) test compression
下载PDF
Adding Pseudo-Random Test Sequence Generator in the Test Simulator for DFT Approach
13
作者 Afaq Ahmad Dawood Al-Abri Sayyid Samir AI-Busaidi 《Computer Technology and Application》 2012年第7期463-470,共8页
关键词 伪随机序列发生器 模拟测试 DFT方法 伪随机测试 测试模拟器 数字逻辑设计 测试工具 开发工具
下载PDF
Fault Detection and Test Response Compaction with Array of Two-Input Linear Logic
14
作者 Sunil R. Das Satyendra N. Biswas +2 位作者 Alexander R. Applegate Voicu Groza Mansour H. Assaf 《Journal of Electrical Engineering》 2014年第1期1-11,共11页
关键词 电气控制 控制理论 电气测量 集中参数
下载PDF
一种基于分压电路的绑定后TSV测试方法
15
作者 刘军 项晨 +1 位作者 陈田 吴玺 《微电子学与计算机》 2024年第4期132-140,共9页
对硅通孔(Through Silicon Via,TSV)进行绑定后测试可以有效地提升三维集成电路的性能和良率。现有的测试方法虽然对于开路和桥接故障的测试能力较高,但是对于泄漏故障的测试效果较差,并且所需的总测试时间较长。对此,提出了一种基于分... 对硅通孔(Through Silicon Via,TSV)进行绑定后测试可以有效地提升三维集成电路的性能和良率。现有的测试方法虽然对于开路和桥接故障的测试能力较高,但是对于泄漏故障的测试效果较差,并且所需的总测试时间较长。对此,提出了一种基于分压电路的TSV绑定后测试方法。该方法设计了一种分压电路,进行泄漏故障测试时可以形成一条无分支的电流路径,有效提高了对泄漏故障的测试能力。此外,该方法测试开路故障和泄漏故障时的电流路径不会相互干扰,可以同时测试相邻TSV的开路故障和泄漏故障。实验结果表明,该方法可以测试10 kΩ以下的弱泄漏故障,并且在工艺偏差下依然能够保持较高的测试能力。相比同类测试方法,该方法所需面积开销更小,所需总测试时间更少。 展开更多
关键词 三维集成电路 硅通孔 绑定后测试 内建自测试
下载PDF
数字VLSI电路测试技术-BIST方案 被引量:15
16
作者 高平 成立 +2 位作者 王振宇 祝俊 史宜巧 《半导体技术》 CAS CSCD 北大核心 2003年第9期29-32,共4页
分析了数字VLSI电路的传统测试手段及其存在问题,通过对比的方法,讨论了内建自测试(BIST)技术及其优点,简介了多芯片组件(MCM)内建自测试的目标、设计和测试方案。
关键词 数字VLSI电路 测试技术 bist 内建自测试 多芯片组件 超大规模集成
下载PDF
约束输入精简的多扫描链BIST方案 被引量:15
17
作者 梁华国 刘军 +2 位作者 蒋翠云 欧阳一鸣 易茂祥 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2007年第3期371-375,共5页
运用有约束的输入精简、LFSR编码与折叠计数器技术,实现了对确定性测试集的压缩与生成.其主要优点是将多种测试方法有机地结合在一起,充分地发挥了各种方法在压缩测试数据方面的优势.与国际上同类方法相比,该方案需要的测试数据存储容... 运用有约束的输入精简、LFSR编码与折叠计数器技术,实现了对确定性测试集的压缩与生成.其主要优点是将多种测试方法有机地结合在一起,充分地发挥了各种方法在压缩测试数据方面的优势.与国际上同类方法相比,该方案需要的测试数据存储容量更少,测试应用时间明显缩短,总体性能得到提升;并且能够很好地适应于传统的EDA设计流. 展开更多
关键词 内建自测试 输入精简 线性反馈移位寄存器 折叠计数器 多扫描链 测试数据压缩
下载PDF
基于二维测试数据压缩的BIST方案 被引量:8
18
作者 周彬 叶以正 李兆麟 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2009年第4期481-486,492,共7页
为了减少测试向量的存储需求,提出一种基于扭环计数器作为测试向量产生器的横向和竖向测试数据压缩的BIST方案.先利用经典的输入精简技术对测试集进行横向压缩,再对横向压缩之后的测试集进行竖向压缩.竖向压缩时利用一种有效的基于测试... 为了减少测试向量的存储需求,提出一种基于扭环计数器作为测试向量产生器的横向和竖向测试数据压缩的BIST方案.先利用经典的输入精简技术对测试集进行横向压缩,再对横向压缩之后的测试集进行竖向压缩.竖向压缩时利用一种有效的基于测试集嵌入技术的种子选择算法,将确定性的测试集压缩成很小的种子集.基于ISCAS89标准电路的实验结果表明,采用文中方案所实现的测试电路与已有方案相比:存储位数平均减少了44%,测试向量的长度平均减少了79%,硬件开销平均减少了41%. 展开更多
关键词 内建自测试 测试数据压缩 输入精简 扭环计数器
下载PDF
并行折叠计数器的BIST方案 被引量:4
19
作者 梁华国 李鑫 +2 位作者 陈田 王伟 易茂祥 《电子学报》 EI CAS CSCD 北大核心 2012年第5期1030-1033,共4页
本文提出了一种新的基于初始状态的并行折叠计数结构,并给出了建议的多扫描链的BIST方案.与国际上同类方法相比,该方案需要更少的测试数据存储容量、更短的测试应用时间,其平均测试应用时间是同类方案的0.265%,并且能很好地适用于传统的... 本文提出了一种新的基于初始状态的并行折叠计数结构,并给出了建议的多扫描链的BIST方案.与国际上同类方法相比,该方案需要更少的测试数据存储容量、更短的测试应用时间,其平均测试应用时间是同类方案的0.265%,并且能很好地适用于传统的EDA设计流程. 展开更多
关键词 内建自测试 线性反馈移位寄存器 并行折叠计数器 多扫描链 测试数据压缩
下载PDF
一种针对3D芯片的BIST设计方法 被引量:7
20
作者 王伟 高晶晶 +3 位作者 方芳 陈田 兰方勇 李杨 《电子测量与仪器学报》 CSCD 2012年第3期215-222,共8页
提出了一种基于分层结构的内建自测试(BIST)设计方法—3DC-BIST(3D Circuit-BIST)。根据3D芯片的绑定前测试和绑定后测试阶段,针对3D芯片除底层外的各层电路结构,采用传统方法,设计用于绑定前测试的相应BIST结构;针对3D芯片底层电路结... 提出了一种基于分层结构的内建自测试(BIST)设计方法—3DC-BIST(3D Circuit-BIST)。根据3D芯片的绑定前测试和绑定后测试阶段,针对3D芯片除底层外的各层电路结构,采用传统方法,设计用于绑定前测试的相应BIST结构;针对3D芯片底层电路结构与整体结构,通过向量调整技术,设计既能用于底层电路绑定前测试又能用于整体3D芯片绑定后测试的BIST结构。给出了一种针对3D芯片的BIST设计方法,与传统方法相比减少了面积开销。实验结果表明该结构在实现与传统3D BIST方法同样故障覆盖率的条件下,3D平面面积开销相比传统设计方法减少了6.41%。 展开更多
关键词 3D芯片 绑定前测试 绑定后测试 内建自测试
下载PDF
上一页 1 2 14 下一页 到第
使用帮助 返回顶部