期刊文献+
共找到1,840篇文章
< 1 2 92 >
每页显示 20 50 100
Dynamical behavior of memristor-coupled heterogeneous discrete neural networks with synaptic crosstalk
1
作者 马铭磷 熊康灵 +1 位作者 李志军 贺少波 《Chinese Physics B》 SCIE EI CAS CSCD 2024年第2期545-550,共6页
Synaptic crosstalk is a prevalent phenomenon among neuronal synapses,playing a crucial role in the transmission of neural signals.Therefore,considering synaptic crosstalk behavior and investigating the dynamical behav... Synaptic crosstalk is a prevalent phenomenon among neuronal synapses,playing a crucial role in the transmission of neural signals.Therefore,considering synaptic crosstalk behavior and investigating the dynamical behavior of discrete neural networks are highly necessary.In this paper,we propose a heterogeneous discrete neural network(HDNN)consisting of a three-dimensional KTz discrete neuron and a Chialvo discrete neuron.These two neurons are coupled mutually by two discrete memristors and the synaptic crosstalk is considered.The impact of crosstalk strength on the firing behavior of the HDNN is explored through bifurcation diagrams and Lyapunov exponents.It is observed that the HDNN exhibits different coexisting attractors under varying crosstalk strengths.Furthermore,the influence of different crosstalk strengths on the synchronized firing of the HDNN is investigated,revealing a gradual attainment of phase synchronization between the two discrete neurons as the crosstalk strength decreases. 展开更多
关键词 discrete memristor synaptic crosstalk coexisting attractor phase synchronization
下载PDF
Emerging roles of plasmacytoid dendritic cell crosstalk in tumor immunity 被引量:1
2
作者 Leilei Yang Songya Li +1 位作者 Liuhui Chen Yi Zhang 《Cancer Biology & Medicine》 SCIE CAS CSCD 2023年第10期728-747,共20页
Plasmacytoid dendritic cells(pDCs)are a pioneer cell type that produces type I interferon(IFN-I)and promotes antiviral immune responses.However,they are tolerogenic and,when recruited to the tumor microenvironment(TME... Plasmacytoid dendritic cells(pDCs)are a pioneer cell type that produces type I interferon(IFN-I)and promotes antiviral immune responses.However,they are tolerogenic and,when recruited to the tumor microenvironment(TME),play complex roles that have long been a research focus.The interactions between p DCs and other components of the TME,whether direct or indirect,can either promote or hinder tumor development;consequently,p DCs are an intriguing target for therapeutic intervention.This review provides a comprehensive overview of p DC crosstalk in the TME,including crosstalk with various cell types,biochemical factors,and microorganisms.An in-depth understanding of p DC crosstalk in TME should facilitate the development of novel p DC-based therapeutic methods. 展开更多
关键词 Plasmacytoid dendritic cell tumor microenvironment cell crosstalk immune activation immune suppression
下载PDF
Microbiome-liver crosstalk:A multihit therapeutic target for liver disease 被引量:1
3
作者 Jorum Kirundi Sheida Moghadamrad Camilla Urbaniak 《World Journal of Gastroenterology》 SCIE CAS 2023年第11期1651-1668,共18页
Liver disease has become a leading cause of death,particularly in the West,where it is attributed to more than two million deaths annually.The correlation between gut microbiota and liver disease is still not fully un... Liver disease has become a leading cause of death,particularly in the West,where it is attributed to more than two million deaths annually.The correlation between gut microbiota and liver disease is still not fully understood.However,it is well known that gut dysbiosis accompanied by a leaky gut causes an increase in lipopolysaccharides in circulation,which in turn evoke massive hepatic inflammation promoting liver cirrhosis.Microbial dysbiosis also leads to poor bile acid metabolism and low short-chain fatty acids,all of which exacerbate the inflammatory response of liver cells.Gut microbial homeostasis is maintained through intricate processes that ensure that commensal microbes adapt to the low oxygen potential of the gut and that they rapidly occupy all the intestinal niches,thus outcompeting any potential pathogens for available nutrients.The crosstalk between the gut microbiota and its metabolites also guarantee an intact gut barrier.These processes that protect against destabilization of gut microbes by potential entry of pathogenic bacteria are collectively called colonization resistance and are equally essential for liver health.In this review,we shall investigate how the mechanisms of colonization resistance influence the liver in health and disease and the microbial-liver crosstalk potential as therapeutic target areas. 展开更多
关键词 MICROBIOME Nonalcoholic fatty liver disease Nonalcoholic steatohepatitis Liver disease Microbiome-host crosstalk Gut homeostasis Microbial metabolites
下载PDF
周细胞-内皮细胞Crosstalk在心肌缺血后微血管新生中的研究进展
4
作者 刘艺旋 汤凯璇 +3 位作者 李奕潼 周煊程 刘怡然 李超 《中国医药导报》 CAS 2023年第15期40-44,共5页
心血管疾病是世界公共卫生问题,是人类主要的死亡原因之一。治疗性血管新生能够有效减轻心肌缺血损伤并改善心功能,已成为心肌缺血后重要的补充治疗策略。周细胞-内皮细胞Crosstalk对心肌缺血后微血管新生的调控机制主要包括周细胞通过... 心血管疾病是世界公共卫生问题,是人类主要的死亡原因之一。治疗性血管新生能够有效减轻心肌缺血损伤并改善心功能,已成为心肌缺血后重要的补充治疗策略。周细胞-内皮细胞Crosstalk对心肌缺血后微血管新生的调控机制主要包括周细胞通过血管基底膜直接接触内皮细胞和旁分泌调节两种模式。其中,旁分泌调节主要通过促血管新生细胞因子和激活多种信号通路,以促进微血管新生和成熟,对稳定血管/血液系统及改善心脏功能等具有重要作用,因此,周细胞-内皮细胞Crosstalk是心肌缺血后微血管新生的关键环节。本文综述了周细胞-内皮细胞Crosstalk在心肌缺血后微血管新生中的机制及作用,以期为心肌缺血的补充治疗方案提供思路。 展开更多
关键词 周细胞-内皮细胞crosstalk 微血管新生 旁分泌调节 作用机制
下载PDF
The role of signaling crosstalk of microglia in hippocampus on progression of ageing and Alzheimer's disease
5
作者 He Li Tianyuan Ye +8 位作者 Xingyang Liu Rui Guo Xiuzhao Yang Yangyi Li Dongmei Qi Yihua Wei Yifan Zhu Lei Wen Xiaorui Cheng 《Journal of Pharmaceutical Analysis》 SCIE CAS CSCD 2023年第7期788-805,共18页
Based on single-cell sequencing of the hippocampi of 5×familiar Alzheimer's disease(5×FAD)and wild type mice at 2-,12-,and 24-month of age,we found an increased percentage of microglia in aging and Alzhe... Based on single-cell sequencing of the hippocampi of 5×familiar Alzheimer's disease(5×FAD)and wild type mice at 2-,12-,and 24-month of age,we found an increased percentage of microglia in aging and Alzheimer's disease(AD)mice.Blood brain barrier injury may also have contributed to this increase.Immune regulation by microglia plays a major role in the progression of aging and AD,according to the functions of 41 intersecting differentially expressed genes in microglia.Signaling crosstalk between C−C motif chemokine ligand(CCL)and major histocompatibility complex-1 bridges intercellular communication in the hippocampus during aging and AD.The amyloid precursor protein(APP)and colony stimulating factor(CSF)signals drive 5×FAD to deviate from aging track to AD occurrence among intercellular communication in hippocampus.Microglia are involved in the progression of aging and AD can be divided into 10 functional types.The strength of the interaction among microglial subtypes weakened with aging,and the CCL and CSF signaling pathways were the fundamental bridge of communication among microglial subtypes. 展开更多
关键词 Alzheimer's disease MICROGLIA IMMUNE crosstalk CHEMOKINE Colony-stimulating factor
下载PDF
Calibration and cancellation of microwave crosstalk in superconducting circuits
6
作者 严海生 赵寿宽 +8 位作者 相忠诚 王子婷 杨钊华 许凯 田野 于海峰 郑东宁 范桁 赵士平 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第9期267-273,共7页
The precise control and manipulation of the qubit state are vital for quantum simulation and quantum computation.In superconducting circuits,one notorious error comes from the crosstalk of microwave signals applied to... The precise control and manipulation of the qubit state are vital for quantum simulation and quantum computation.In superconducting circuits,one notorious error comes from the crosstalk of microwave signals applied to different qubit control lines.In this work,we present a method for the calibration and cancellation of the microwave crosstalk and experimentally demonstrate its effectiveness in a superconducting 10-qubit chain.The method is convenient and efficient especially for calibrating the microwave crosstalk with large amplitudes and variations,which can be performed successively to reduce the microwave crosstalk by two to three orders.The qubit chain with microwave driving is governed by one-dimensional(1D)Bose-Hubbard model in transverse field,which is nonintegrable and shows thermalization behaviour during the time evolution from certain initial states.Such thermalization process is observed with excellent agreement between experiment and theory further confirming the effective global cancellation of the microwave crosstalk. 展开更多
关键词 superconducting qubit microwave crosstalk Rabi oscillation
下载PDF
PGC-1α介导的“肌脑Crosstalk”与运动的抗抑郁机制——基于整合生物学的反思与展望 被引量:8
7
作者 夏杰 刘微娜 +1 位作者 漆正堂 季浏 《上海体育学院学报》 CSSCI 北大核心 2017年第4期57-64,共8页
针对抑郁症的研究表明,骨骼肌过氧化物酶体增殖活化受体γ辅助活化因子1α(PGC-1α)通过基因转录调控的途径改善外周色氨酸代谢、减轻中枢炎症反应、增加脑源性神经营养因子的表达,从而远程作用于脑组织发挥抗抑郁效应。基于整合生物学... 针对抑郁症的研究表明,骨骼肌过氧化物酶体增殖活化受体γ辅助活化因子1α(PGC-1α)通过基因转录调控的途径改善外周色氨酸代谢、减轻中枢炎症反应、增加脑源性神经营养因子的表达,从而远程作用于脑组织发挥抗抑郁效应。基于整合生物学理论,综述骨骼肌PGC-1α在运动抗抑郁中的重要作用及其介导机制,提出PGC-1α介导的外周—中枢"对话"模式。针对其他神经退行性疾病的运动干预作用,提出"肌脑Crosstalk"的可能途径。 展开更多
关键词 抑郁 运动 PGC-1Α 肌脑crosstalk 整合生物学
下载PDF
Wnt与其他信号通路在胚胎发育过程中的crosstalk 被引量:11
8
作者 白戈 唐珂 景乃禾 《生命的化学》 CAS CSCD 2002年第4期304-308,共5页
在胚胎发育过程中,Wnt信号通路扮演了非常重要的角色。而很多情况下它都是与FGF、Notch、Hedgehog、TGF-β等其他几条重要的信号通路通过大量的crosstalk,相互协同来发挥作用的。对于非洲爪蟾胚胎早期发育的研究表明,FGF信号可以诱导产... 在胚胎发育过程中,Wnt信号通路扮演了非常重要的角色。而很多情况下它都是与FGF、Notch、Hedgehog、TGF-β等其他几条重要的信号通路通过大量的crosstalk,相互协同来发挥作用的。对于非洲爪蟾胚胎早期发育的研究表明,FGF信号可以诱导产生p90^(rsk),一种核糖体S6蛋白激酶,而这种激酶可以磷酸化GSK-3β的丝氨酸-9残基,导致GSK-3β失活,并以此来调节Wnt信号,共同控制胚胎发育过程。 展开更多
关键词 WNT 信号通路 胚胎发育过程 crosstalk 调控 协同作用
下载PDF
Tumor-stroma crosstalk对肝细胞癌中肝星状细胞氨基酸代谢水平的影响 被引量:1
9
作者 吴静 孟庆华 薛冉 《临床肝胆病杂志》 CAS 北大核心 2018年第12期2610-2613,共4页
目的探讨tumor-stroma crosstalk对肝星状细胞(HSC)氨基酸代谢的影响。方法分别培养人肝癌细胞系HepG2、Hep3B、Huh7,以及LX-2 HSC。分别使用LX-2 HSC条件培养基(LX2-CM)和HepG2、Hep3B、Huh7肝癌细胞混合条件培养基(Hep-CM)培养HSC,并... 目的探讨tumor-stroma crosstalk对肝星状细胞(HSC)氨基酸代谢的影响。方法分别培养人肝癌细胞系HepG2、Hep3B、Huh7,以及LX-2 HSC。分别使用LX-2 HSC条件培养基(LX2-CM)和HepG2、Hep3B、Huh7肝癌细胞混合条件培养基(Hep-CM)培养HSC,并收集细胞上清,应用氨基酸分析仪检测细胞上清氨基酸谱变化。计量资料组间比较采用t检验。结果HSC氨基酸代谢水平改变情况,与对照组(LX2-CM)相比,实验组(Hep-CM)上清中瓜氨酸(t=3. 426,P=0. 027)、缬氨酸(t=2. 892,P=0. 045)、异亮氨酸(t=4. 224,P=0. 013)、亮氨酸(t=4. 150,P=0. 014)、酪氨酸(t=3. 556,P=0. 024)、苯丙氨酸(t=4. 023,P=0. 016)、赖氨酸(t=3. 369,P=0. 028)水平降低,差异均有统计学意义。结论肿瘤微环境中,tumor-stroma crosstalk可以影响HSC的氨基酸代谢水平,这种改变可能反过来促使肝癌细胞更加适应低氧微环境。 展开更多
关键词 肝肿瘤 氨基酸类 代谢 tumor-stroma crosstalk
下载PDF
运动如何调控“肌-骨”Crosstalk分泌相关因子表达介导2型糖尿病的骨代谢 被引量:1
10
作者 曾炘瑜 陈祥和 +5 位作者 刘波 陆鹏程 金圣杰 李文秀 田志凯 孙昌亮 《中国组织工程研究》 CAS 北大核心 2022年第2期289-295,共7页
背景:2型糖尿病是因能量代谢紊乱导致的疾病。肌肉和骨骼在运动刺激条件下,通过其内分泌功能参与机体的能量代谢调控。目前,相关"肌-骨"Crosstalk介导运动调控2型糖尿病骨代谢作用机制的研究较少。目的:通过分析运动如何调控&... 背景:2型糖尿病是因能量代谢紊乱导致的疾病。肌肉和骨骼在运动刺激条件下,通过其内分泌功能参与机体的能量代谢调控。目前,相关"肌-骨"Crosstalk介导运动调控2型糖尿病骨代谢作用机制的研究较少。目的:通过分析运动如何调控"肌-骨"Crosstalk分泌相关因子的表达水平,并作用于骨组织的病理原因,探讨基于"肌-骨"Crosstalk运动介导2型糖尿病骨代谢的作用机制。方法:检索知网(CNKI)、万方及PubMed等数据库2012年至2021年近10年的相关文献;中英文检索词为:运动,2型糖尿病,骨代谢,"肌-骨"Crosstalk,肌肉因子和exercise,type 2 diabetes mellitus,bone metabolism,"muscle-bone"Crosstalk,muscle factor。经过筛选后对纳入的50篇相关文献进行了分析探讨。结果与结论:肌肉骨骼中的生物活性因子,一部分以内分泌方式作用于骨骼,如肌肉生长抑制素(Myostatin)、骨形态发生蛋白和肌肉素等;另一部分以旁分泌方式作用于骨骼,如胰岛素样生长因子1、白细胞介素6和鸢尾素等,参与骨代谢调节,平衡骨组织中成骨细胞的骨形成和破骨细胞的骨吸收,成为运动介导肌骨系统的信使因子。运动是调控能量代谢的重要手段,其介导的内部刺激变化能够引发肌细胞和骨细胞的信号应答,激活Wnt、BMP/Smads、转化生长因子β等信号通路,从而调节肌肉骨骼系统的内分泌功能,促进代谢适应,影响2型糖尿病骨代谢。 展开更多
关键词 运动 2型糖尿病 骨代谢 “肌-骨”crosstalk 肌肉因子
下载PDF
Crosstalk network among multiple inflammatory mediators in liver fibrosis 被引量:17
11
作者 Han-Jing Zhangdi Si-Biao Su +4 位作者 Fei Wang Zi-Yu Liang Yu-Dong Yan Shan-Yu Qin Hai-Xing Jiang 《World Journal of Gastroenterology》 SCIE CAS 2019年第33期4835-4849,共15页
Liver fibrosis is the common pathological basis of all chronic liver diseases,and is the necessary stage for the progression of chronic liver disease to cirrhosis.As one of pathogenic factors,inflammation plays a pred... Liver fibrosis is the common pathological basis of all chronic liver diseases,and is the necessary stage for the progression of chronic liver disease to cirrhosis.As one of pathogenic factors,inflammation plays a predominant role in liver fibrosis via communication and interaction between inflammatory cells,cytokines,and the related signaling pathways.Damaged hepatocytes induce an increase in proinflammatory factors,thereby inducing the development of inflammation.In addition,it has been reported that inflammatory response related signaling pathway is the main signal transduction pathway for the development of liver fibrosis.The crosstalk regulatory network leads to hepatic stellate cell activation and proinflammatory cytokine production,which in turn initiate the fibrotic response.Compared with the past,the research on the pathogenesis of liver fibrosis has been greatly developed.However,the liver fibrosis mechanism is complex and many pathways involved need to be further studied.This review mainly focuses on the crosstalk regulatory network among inflammatory cells,cytokines,and the related signaling pathways in the pathogenesis of chronic inflammatory liver diseases.Moreover,we also summarize the recent studies on the mechanisms underlying liver fibrosis and clinical efforts on the targeted therapies against the fibrotic response. 展开更多
关键词 crosstalk NETWORK INFLAMMATORY cell CYTOKINE signal pathway Liver FIBROSIS
下载PDF
Gut-lung crosstalk in pulmonary involvement with inflammatory bowel diseases 被引量:11
12
作者 Hui Wang Jing-Shi Liu +8 位作者 Shao-Hua Peng Xi-Yun Deng De-Mao Zhu Sara Javidiparsijani Gui-Rong Wang Dai-Qiang Li Long-Xuan Li Yi-Chun Wang Jun-Ming Luo 《World Journal of Gastroenterology》 SCIE CAS 2013年第40期6794-6804,共11页
Pulmonary abnormalities,dysfunction or hyper-reactivity occurs in association with inflammatory bowel disease(IBD) more frequently than previously recognized.Emerging evidence suggests that subtle inflammation exists ... Pulmonary abnormalities,dysfunction or hyper-reactivity occurs in association with inflammatory bowel disease(IBD) more frequently than previously recognized.Emerging evidence suggests that subtle inflammation exists in the airways among IBD patients even in the absence of any bronchopulmonary symptoms,and with normal pulmonary functions. The pulmonary impairment is more pronounced in IBD patients with active disease than in those in remission. A growing number of case reports show that the IBD patients develop rapidly progressive respiratory symptoms after colectomy,with failure to isolate bacterial pathogens on repeated sputum culture,and often request oral corticosteroid therapy. All the above evidence indicates that the inflammatory changes in both the intestine and lung during IBD. Clinical or subclinical pulmonary inflammation accompanies the main inflammation of the bowel.Although there are clinical and epidemiological reports of chronic inflammation of the pulmonary and intestinal mucosa in IBD,the detailed mechanisms of pulmonaryintestinal crosstalk remain unknown. The lung has no anatomical connection with the main inflammatory site of the bowel. Why does the inflammatory process shift from the gastrointestinal tract to the airways? The clinical and subclinical pulmonary abnormalities,dysfunction,or hyper-reactivity among IBD patients need further evaluation. Here,we give an overview of the concordance between chronic inflammatory reactions in the airways and the gastrointestinal tract. A better understanding of the possible mechanism of the crosstalk among the distant organs will be beneficial in identifying therapeutic strategies for mucosal inflammatory diseases such as IBD and allergy. 展开更多
关键词 Inflammatory BOWEL disease Pulmonary SYMPTOMS Gut-lung crosstalk Biao-Li relationship Social MANNER
下载PDF
Crosstalk between innate and adaptive immunity in hepatitis B virus infection 被引量:12
13
作者 Li Wang Kai Wang Zhi-Qiang Zou 《World Journal of Hepatology》 CAS 2015年第30期2980-2991,共12页
Hepatitis B virus(HBV) infection is a major public healthproblem worldwide. HBV is not directly cytotoxic to infected hepatocytes; the clinical outcome of infection results from complicated interactions between the vi... Hepatitis B virus(HBV) infection is a major public healthproblem worldwide. HBV is not directly cytotoxic to infected hepatocytes; the clinical outcome of infection results from complicated interactions between the virus and the host immune system. In acute HBV infection, initiation of a broad, vigorous immune response is res-ponsible for viral clearance and self-limited inflammatory liver disease. Effective and coordinated innate and adaptive immune responses are critical for viral clearance and the development of long-lasting immunity. Chronic hepatitis B patients fail to mount efficient innate and adaptive immune responses to the virus. In particular, HBV-specific cytotoxic T cells, which are crucial for HBV clearance, are hyporesponsiveness to HBV infection. Accumulating experimental evidence obtained from the development of animal and cell line models has highlighted the importance of innate immunity in the early control of HBV spread. The virus has evolved immune escape strategies, with higher HBV loads and HBV protein concentrations associated with increasing impairment of immune function. Therefore, treatment of HBV infection requires inhibition of HBV replication and protein expression to restore the suppressed host immunity. Complicated interactions exist not only between innate and adaptive responses, but also among innate immune cells and different components of adaptive responses. Improved insight into these complex interactions are important in designing new therapeutic strategies for the treatment HBV infection. In this review, we summarize the current knowledge regarding the cross-talk between the innate and adaptive immune responses and among different immunocytes in HBV infection. 展开更多
关键词 crosstalk HEPATITIS B VIRUS INNATE IMMUNE Adapative IMMUNE
下载PDF
The crosstalk between autophagy and ferroptosis:what can we learn to target drug resistance in cancer? 被引量:9
14
作者 Yulu Zhou Yong Shen +4 位作者 Cong Chen Xinbing Sui Jingjing Yang Linbo Wang Jichun Zhou 《Cancer Biology & Medicine》 SCIE CAS CSCD 2019年第4期630-646,共17页
Autophagy is a conserved intracellular degradation system that plays a dual role in cell death;thus,therapies targeting autophagy in cancer are somewhat controversial.Ferroptosis is a new form of regulated cell death ... Autophagy is a conserved intracellular degradation system that plays a dual role in cell death;thus,therapies targeting autophagy in cancer are somewhat controversial.Ferroptosis is a new form of regulated cell death featured with the iron-dependent accumulation of lethal lipid ROS.This pathway is morphologically,biochemically and genetically distinct from other forms of cell death.Accumulating studies have revealed crosstalk between autophagy and ferroptosis at the molecular level.In this review,we summarize the mechanisms of ferroptosis and autophagy,and more importantly,their roles in the drug resistance of cancer.Numerous connections between ferroptosis and autophagy have been revealed,and a strong causal relationship exists wherein one process controls the other and can be utilized as potential therapeutic targets for cancer.The elucidation of when and how to modulate their crosstalk using therapeutic strategies depends on an understanding of the fine-tuned switch between ferroptosis and autophagy,and approaches designed to manipulate the intensity of autophagy might be the key. 展开更多
关键词 AUTOPHAGY ferroptosis crosstalk CANCER drug resistance
下载PDF
Oestrogen-androgen crosstalk in the pathophysiology oferectile dysfunction 被引量:6
15
作者 B Srilatha PG Adaikan 《Asian Journal of Andrology》 SCIE CAS CSCD 2003年第4期307-313,共7页
<abstract>Ageing in man is associated with a decline in testosterone following changes in the hypothalamo-pituitary testicular axis. This may offset the physiologic equilibrium between oestrogen and androgen and... <abstract>Ageing in man is associated with a decline in testosterone following changes in the hypothalamo-pituitary testicular axis. This may offset the physiologic equilibrium between oestrogen and androgen and at some point when the ratio of free testosterone to oestradiol reaches a critical level, the oestrogenic gonadotropin suppressive effect predominates with decreased release of FSH and LH. Adding to this endocrinal complexity is the continued peripheral conversion to oestradiol through aromatisation. Although the androgen deficiency is not the sole cause for impotence in the elderly, there is a gradual decrease in nocturnal penile tumescence (NPT) and spontaneous morning erections with ageing. Despite the age related increase in oestrogen levels, the information on the pathophysiological role of the 'female hormone' in erectile dysfunction has been scanty. Together with our identification of oestrogen receptors within the penile cavernosum, we have delineated dysfunctional changes on male erection mediated by oestradiol. These findings parallel the recent concerns over environmental oestrogens on fertility declines in young men. Oestrogenic activity is also present in plants and thereby in human diet. These phytoestrogens are structurally and functionally similar to oestradiol and more potent than the environmental oestrogenic chemicals such as organochlorine and phenolic compounds. Thus in the light of growing concerns of possible compromising effects on sexuality by endogenous and environmental oestrogens, we are faced with the scientific need to delineate their role on the mechanism of male erectile pathway in health and disease for clinical correlates and prognostics. 展开更多
关键词 oestrogen environmental oestrogens oestrogen receptor TESTOSTERONE functional crosstalk erectile dysfunction
下载PDF
Brain-lung crosstalk: Implications for neurocritical care patients 被引量:20
16
作者 Ségolène Mrozek Jean-Michel Constantin Thomas Geeraerts 《World Journal of Critical Care Medicine》 2015年第3期163-178,共16页
Major pulmonary disorders may occur after brain injuries as ventilator-associated pneumonia, acute respiratory distress syndrome or neurogenic pulmonary edema. They are key points for the management of brain-injured p... Major pulmonary disorders may occur after brain injuries as ventilator-associated pneumonia, acute respiratory distress syndrome or neurogenic pulmonary edema. They are key points for the management of brain-injured patients because respiratory failure and mechanical ventilation seem to be a risk factor for increased mortality, poor neurological outcome and longer intensive care unit or hospital length of stay. Brain and lung strongly interact via complex pathways from the brain to the lung but also from the lung to the brain. Several hypotheses have been proposed with a particular interest for the recently described "double hit" model. Ventilator setting in brain-injured patients with lung injuries has been poorly studied and intensivists are often fearful to use some parts of protective ventilation in patients with brain injury. This review aims to describe the epidemiology and pathophysiology of lung injuries in brain-injured patients, but also the impact of different modalities of mechanical ventilation on the brain in the context of acute brain injury. 展开更多
关键词 Brain-lung crosstalk BRAIN INJURY LUNG INJURY Protective ventilation Double HIT model
下载PDF
Leptin-induced Notch and IL-1 signaling crosstalk in endometrial adenocarcinoma is associated with invasiveness and chemoresistance 被引量:5
17
作者 Danielle Daley-Brown Adriana Harbuzariu +2 位作者 Ann Anu Kurian Gabriela Oprea-Ilies Ruben Rene Gonzalez-Perez 《World Journal of Clinical Oncology》 CAS 2019年第6期222-233,共12页
BACKGROUND Obesity is a recognized risk factor for endometrial cancer (EmCa) and other cancer types. Leptin levels are significantly increased in obese individuals. Leptin-induced signaling crosstalk [Notch, Interleuk... BACKGROUND Obesity is a recognized risk factor for endometrial cancer (EmCa) and other cancer types. Leptin levels are significantly increased in obese individuals. Leptin-induced signaling crosstalk [Notch, Interleukin-1 (IL-1) and leptin outcome, NILCO] has been associated with breast cancer progression. This complex signaling crosstalk affects cancer cell proliferation, migration, invasion, angiogenesis, apoptosis and chemoresistance. NILCO expression was previously detected in human EmCa. However, it is unknown whether leptin regulates NILCO and alters EmCa’s response to chemotherapeutics. It is hypothesized that leptin induces NILCO and increases aggressiveness and chemoresistance in EmCa cells. AIM To determine whether leptin induces NILCO molecules in EmCa affecting cell proliferation, aggressiveness and chemoresistance. METHODS Leptin’s effects on the expression of NILCO molecules [mRNAs and proteins for Notch receptors (Notch1-4), ligands (JAG1 and DLL4) and downstream effectors (survivin, Hey2), and leptin (OB-R) and IL-1 (IL-1R tI) receptors] was examined in EmCa cells (type I: Ishikawa, and HEC-1A, and type II: An3Ca and KLE) using Real-time PCR and Western blot analysis, respectively. In addition, the effects of leptin on cell cycle, proliferation and cell invasion were determined using cytometric analysis (Cellometer Vision CBA system), MTT cell proliferation and Matrigel-based invasion assays, respectively. Inhibitors of leptin (nanoparticlebound leptin peptide receptor antagonist-2, IONP-LPrA2), IL-1 (anti-IL-1R tI antibody) and Notch (siRNA interference RNA) were used to investigate NILCO’s effects on cell proliferation and invasion. Leptin’s effects on Paclitaxel cytotoxicity in EmCa cells was determined by the CCK8 and Cellometer-based Annexin V assays. RESULTS For the first time it was shown that leptin is an inducer of Notch in EmCa. Experimental data suggest that leptin induced the expression of NILCO molecules, promoted proliferation and S- phase progression, and reduced Paclitaxel cytotoxicity on EmCa cells. Leptin’s effects were higher in type II EmCa cells. The progression of this more aggressive form of the disease is associated with obesity. Remarkably, the use of the leptin signaling antagonist, IONPLPrA2, re-sensitized EmCa cells to Paclitaxel. CONCLUSION Present data suggest the notion that leptin-induced NILCO could be a link between obesity and EmCa progression and chemoresistance. Most aggressive type II EmCa cells were higher sensitive to leptin, which appears to increase proliferation, cell cycle progression, aggressiveness, and chemoresistance to Paclitaxel. Therefore, leptin and NILCO could be novel therapeutic targets for type II EmCa, which does not have targeted therapy. Overall, IONP-LPrA2 has a potential as a novel adjuvant drug to enhance the effectiveness of type II EmCa chemotherapy. 展开更多
关键词 Endometrial cancer LEPTIN NOTCH INTERLEUKIN-1 Notch IL-1 and LEPTIN crosstalk outcome CHEMORESISTANCE
下载PDF
Pathogenesis of RON receptor tyrosine kinase in cancer cells: activation mechanism, functional crosstalk, and signaling addiction 被引量:4
18
作者 Ming-Hai Wang Ruiwen Zhang +1 位作者 Yong-Qing Zhou Hang-Ping Yao 《The Journal of Biomedical Research》 CAS 2013年第5期345-356,共12页
The RON receptor tyrosine kinase, a member of the MET proto-oncogene family, is a pathogenic factor im- plicated in tumor malignancy. Specifically, aberrations in RON signaling result in increased cancer cell growth, ... The RON receptor tyrosine kinase, a member of the MET proto-oncogene family, is a pathogenic factor im- plicated in tumor malignancy. Specifically, aberrations in RON signaling result in increased cancer cell growth, survival, invasion, angiogenesis, and drug resistance. Biochemical events such as ligand binding, receptor over- expression, generation of structure-defected variants, and point mutations in the kinase domain contribute to RON signaling activation. Recently, functional crosstalk between RON and signaling proteins such as MET and EFGR has emerged as an additional mechanism for RON activation, which is critical for tumorigenic develop- ment. The RON signaling crosstalk acts either as a regulatory feedback loop that strengthens or enhances tumor- igenic phenotype of cancer cells or serves as a signaling compensatory pathway providing a growth/survival ad- vantage for cancer cells to escape targeted therapy. Moreover, viral oncoproteins derived from Friend leukemia or Epstein-Barr viruses interact with RON to drive viral oncogenesis. In cancer cells, RON signaling is integrated into cellular signaling network essential for cancer cell growth and survival. These activities provide the mo- lecular basis of targeting RON for cancer treatment. In this review, we will discuss recent data that uncover the mechanisms of RON activation in cancer cells, review evidence of RON signaling crosstalk relevant to cancer malignancy, and emphasize the significance of the RON signaling addiction by cancer cells for tumor therapy. Understanding aberrant RON signaling will not only provide insight into the mechanisms of tumor pathogenesis, but also lead to the development of novel strategies for molecularly targeted cancer treatment. 展开更多
关键词 Receptor tyrosine kinase (RON) signaling pathway activation mechanism signaling crosstalk on-cogene addiction TUMORIGENESIS
下载PDF
Parathyroid hormone increases alveolar bone homoeostasis during orthodontic tooth movement in rats with periodontitis via crosstalk between STAT3 and β-catenin 被引量:6
19
作者 Cheng Zhang Tiancheng Li +6 位作者 Chenchen Zhou Li Huang Yuyu Li Han Wang Peipei Duan Shujuan Zou Li Mei 《International Journal of Oral Science》 SCIE CAS CSCD 2020年第4期356-366,共11页
Periodontitis patients are at risk of alveolar bone loss during orthodontic treatment.The aim of this study was to investigate whether intermittent parathyroid hormone(1–34)treatment(iPTH)could reduce alveolar bone l... Periodontitis patients are at risk of alveolar bone loss during orthodontic treatment.The aim of this study was to investigate whether intermittent parathyroid hormone(1–34)treatment(iPTH)could reduce alveolar bone loss during orthodontic tooth movement(OTM)in individuals with periodontitis and the underlying mechanism.A rat model of OTM in the context of periodontitis was established and alveolar bone loss was observed.The control,iPTH and iPTH+stattic groups received injections of vehicle,PTH and vehicle,or PTH and the signal transducer and activator of transcription 3(STAT3)inhibitor stattic,respectively.iPTH prevented alveolar bone loss by enhancing osteogenesis and suppressing bone resorption in the alveolar bone during OTM in rats with periodontitis.This effect of iPTH was along with STAT3 activation and reduced by a local injection of stattic.iPTH promoted osteoblastic differentiation and might further regulate the Wnt/β-catenin pathway in a STAT3-dependent manner.The findings of this study suggest that iPTH might reduce alveolar bone loss during OTM in rats with periodontitis through STAT3/β-catenin crosstalk. 展开更多
关键词 STAT3 PERIOD crosstalk
下载PDF
Through-silicon-via crosstalk model and optimization design for three-dimensional integrated circuits 被引量:3
20
作者 钱利波 朱樟明 +2 位作者 夏银水 丁瑞雪 杨银堂 《Chinese Physics B》 SCIE EI CAS CSCD 2014年第3期591-596,共6页
Through-silicon-via (TSV) to TSV crosstalk noise is one of the key factors affecting the signal integrity of three- dimensional integrated circuits (3D ICs). Based on the frequency dependent equivalent electrical ... Through-silicon-via (TSV) to TSV crosstalk noise is one of the key factors affecting the signal integrity of three- dimensional integrated circuits (3D ICs). Based on the frequency dependent equivalent electrical parameters for the TSV channel, an analytical crosstalk noise model is established to capture the TSV induced crosstalk noise. The impact of various design parameters including insulation dielectric, via pitch, via height, silicon conductivity, and terminal impedance on the crosstalk noise is analyzed with the proposed model. Two approaches are proposed to alleviate the TSV noise, namely, driver sizing and via shielding, and the SPICE results show 241 rnV and 379 mV reductions in the peak noise voltage, respectively. 展开更多
关键词 three-dimensional integrated circuits through-silicon-via crosstalk driver sizing via shielding
下载PDF
上一页 1 2 92 下一页 到第
使用帮助 返回顶部