期刊文献+
共找到130篇文章
< 1 2 7 >
每页显示 20 50 100
基于PCIeDMA缓冲池的流量控制协议
1
作者 黄双双 郝一太 罗伟杰 《通信电源技术》 2024年第3期16-18,共3页
随着航空电子的发展,机载计算机对通信性能提出了更高的要求。PCIe总线的直接存储器存取(Direct Memory Access,DMA)通信方式凭借高吞吐量、低时延及低中央处理器(Central Processing Unit,CPU)占用率等优势,广泛应用于嵌入式计算机通... 随着航空电子的发展,机载计算机对通信性能提出了更高的要求。PCIe总线的直接存储器存取(Direct Memory Access,DMA)通信方式凭借高吞吐量、低时延及低中央处理器(Central Processing Unit,CPU)占用率等优势,广泛应用于嵌入式计算机通信。在复杂网络拓扑的PCIe架构中,由于通信节点接收数据和发送数据的协议差异或中间介质差异,导致入向流量和出向流量速率不匹配,造成数据缺失。因此,文章设计了一种基于PCIeDMA缓冲池的流量控制协议,以控制模块间的通信流量。利用多级缓冲技术,在节点中配置环形缓冲池,可回收利用总线空间。利用同步互斥技术为高速数据转发争取时间,避免了多节点设备转发数据出现滞后性和数据缺失问题。 展开更多
关键词 PCIE 直接存储器存取(dma) 多级缓冲 同步互斥
下载PDF
适用于可重构网络安全处理器的链式多通道分组DMA 被引量:1
2
作者 苏会芳 周诚 +1 位作者 解思江 李晨 《云南师范大学学报(自然科学版)》 2023年第4期49-54,共6页
为了提高可重构网络安全处理器中CPU、密码模块和网卡等各功能部件之间的数据传输效率,提出了一种链式多通道分组直接存储器存取(direct memory access,DMA)传输方式,设计了链式多通道分组DMA的硬件架构,使用65 nm CMOS工艺标准单元库... 为了提高可重构网络安全处理器中CPU、密码模块和网卡等各功能部件之间的数据传输效率,提出了一种链式多通道分组直接存储器存取(direct memory access,DMA)传输方式,设计了链式多通道分组DMA的硬件架构,使用65 nm CMOS工艺标准单元库对链式多通道分组DMA进行了ASIC实现并搭建了仿真验证平台,结果表明使用链式多通道DMA的可重构网络安全处理器内部通信性能明显提升. 展开更多
关键词 数据传输方式 网络安全处理器 直接存储器存取
下载PDF
基于RDMA的高性能单向数据采集技术研究 被引量:2
3
作者 梁嘉诚 余江 +2 位作者 王洪波 刘渊 王晓锋 《计算机工程》 CAS CSCD 北大核心 2023年第10期31-40,共10页
高性能数据采集技术是提高数据分析效率的重要前提。为解决当前数据采集技术中安全性低、传输时延高、CPU开销大的问题,设计一种基于远程直接内存访问的高性能单向数据采集(ODAR)架构,提高数据采集过程中的安全性和传输性能。针对传输... 高性能数据采集技术是提高数据分析效率的重要前提。为解决当前数据采集技术中安全性低、传输时延高、CPU开销大的问题,设计一种基于远程直接内存访问的高性能单向数据采集(ODAR)架构,提高数据采集过程中的安全性和传输性能。针对传输时数据正确性问题,基于可靠性的数据封装策略,设计动态内存优化策略解决内存分配时存在的时延问题,并提出基于优先级的数据传输调度算法解决高吞吐量数据传输中存在的带宽利用率低的问题。实验结果表明,相对于基于UDP协议实现的单向数据采集技术,ODAR架构的吞吐量平均提高了57.01%,传输时延与CPU开销平均降低了61.27%与68.01%,并且大幅提高了数据传输的准确率,内存分配时的时延平均降低了80.15%,网卡带宽利用率平均提高了33.03%。 展开更多
关键词 单向数据采集 远程直接内存访问 消息中间件 动态内存优化 数据传输调度
下载PDF
基于DMA的连续脉宽数据采集装置 被引量:4
4
作者 员玉良 冯强 +1 位作者 杨丽丽 王方艳 《实验室研究与探索》 CAS 北大核心 2020年第2期60-63,共4页
提出了一种基于DMA的连续脉宽数据采集装置。该装置以STM32F767ZI单片机作为主控CPU,利用DMA的快速数据搬运能力,在无CPU干预的情况下,将定时器在输入捕获模式下测得的连续脉宽数据快速转运至内存存储,提高CPU的指令执行效率,实现较宽... 提出了一种基于DMA的连续脉宽数据采集装置。该装置以STM32F767ZI单片机作为主控CPU,利用DMA的快速数据搬运能力,在无CPU干预的情况下,将定时器在输入捕获模式下测得的连续脉宽数据快速转运至内存存储,提高CPU的指令执行效率,实现较宽频率范围内的多通道连续脉宽参数的等精度获取。对连续脉宽数据采集装置进行标定。结果显示:该方法在高频段测量时,精度优于传统测量方法,测量频率范围宽,有效避免传统方法存在数据漏采漏读的弊端,具有较高的实用价值。 展开更多
关键词 直接存储器存取 数据采集 连续脉宽
下载PDF
通用多通道高性能DMA控制器设计 被引量:12
5
作者 梁科 李国峰 +3 位作者 王锦 董海坤 高静 秦世才 《天津大学学报》 EI CAS CSCD 北大核心 2008年第5期621-626,共6页
直接存储器存取(DMA)是计算机应用系统中用于提高数据传输速率和微处理器使用效率的一项重要技术.文中提出了一种功能较为完备的通用多通道DMA控制器的设计方法,给出了系统仿真和测试结果.该控制器具有8通道,支持优先级和轮转相结合的... 直接存储器存取(DMA)是计算机应用系统中用于提高数据传输速率和微处理器使用效率的一项重要技术.文中提出了一种功能较为完备的通用多通道DMA控制器的设计方法,给出了系统仿真和测试结果.该控制器具有8通道,支持优先级和轮转相结合的仲裁机制,利用地址掩码和指针实现FIFO型环形缓冲.采用流水线结构设计,支持硬件握手模式和链表描述符传输方式,具有传输速度快和编程灵活的优点,适用于网络通信、多媒体处理等多种应用领域. 展开更多
关键词 直接存储器存取 多通道 仲裁器 环形缓冲 硬件握手 流水线 链表描述符
下载PDF
MCS-DMA:一种面向SoC内DMA传输的内存控制器优化设计 被引量:6
6
作者 黄侃 佟冬 +2 位作者 刘洋 杨寿贵 程旭 《电子学报》 EI CAS CSCD 北大核心 2010年第3期598-604,共7页
当前主流片上总线协议——AHB存在访存带宽利用率较低的问题.本文基于SoC内DMA传输较多的特点,提出一种新的优化设计:在内存控制器内部增加MCS-DMA模块,并通过驱动程序将MCS-DMA模块与目标DMA传输绑定.一方面实现数据预取,提升了单个DM... 当前主流片上总线协议——AHB存在访存带宽利用率较低的问题.本文基于SoC内DMA传输较多的特点,提出一种新的优化设计:在内存控制器内部增加MCS-DMA模块,并通过驱动程序将MCS-DMA模块与目标DMA传输绑定.一方面实现数据预取,提升了单个DMA传输时的总线带宽利用率;另一方面使访存请求在内存控制器内部流水化完成,提升多个DMA并发时的总线带宽利用率.将该设计应用到北大众志SKSoC后,单个DMA传输时的总线带宽利用率提升至100%,多个DMA并发时的总线带宽利用率从33.3%提升至85.5%,而芯片的设计面积仅增加2.9%. 展开更多
关键词 系统芯片 内存控制器 直接内存访问
下载PDF
基于PCIE驱动程序的数据传输卡DMA传输 被引量:16
7
作者 李晃 巩峰 陈彦化 《电子科技》 2014年第1期117-120,共4页
为提高数据传输速度,研制了一套基于PCIE接口的数据发送和接收系统。该系统主要由4部分组成:数据发送卡、数据接收卡、PCIE驱动程序以及上位机应用程序。文中介绍了数据传输卡的基本原理和构成,重点研究了在Windows XP系统下利用WinDri... 为提高数据传输速度,研制了一套基于PCIE接口的数据发送和接收系统。该系统主要由4部分组成:数据发送卡、数据接收卡、PCIE驱动程序以及上位机应用程序。文中介绍了数据传输卡的基本原理和构成,重点研究了在Windows XP系统下利用WinDriver开发PCIE设备驱动程序的主要步骤、DMA数据传输的实现和中断响应的处理。经测试,该数据传输系统比较稳定,开发的驱动程序可以实现数据的高速传输。 展开更多
关键词 PCI Express(PCIE) WINDRIVER 驱动 直接内存访问(dma)
下载PDF
国产SW26010-Pro处理器上3级BLAS函数众核并行优化
8
作者 胡怡 陈道琨 +5 位作者 杨超 马文静 刘芳芳 宋超博 孙强 史俊达 《软件学报》 EI CSCD 北大核心 2024年第3期1569-1584,共16页
BLAS(basic linear algebra subprograms)是最基本、最重要的底层数学库之一.在一个标准的BLAS库中,BLAS 3级函数涵盖的矩阵-矩阵运算尤为重要,在许多大规模科学与工程计算应用中被广泛调用.另外,BLAS 3级属于计算密集型函数,对充分发... BLAS(basic linear algebra subprograms)是最基本、最重要的底层数学库之一.在一个标准的BLAS库中,BLAS 3级函数涵盖的矩阵-矩阵运算尤为重要,在许多大规模科学与工程计算应用中被广泛调用.另外,BLAS 3级属于计算密集型函数,对充分发挥处理器的计算性能有至关重要的作用.针对国产SW26010-Pro处理器研究BLAS 3级函数的众核并行优化技术.具体而言,根据SW26010-Pro的存储层次结构,设计多级分块算法,挖掘矩阵运算的并行性.在此基础上,基于远程内存访问(remote memory access,RMA)机制设计数据共享策略,提高从核间的数据传输效率.进一步地,采用三缓冲、参数调优等方法对算法进行全面优化,隐藏直接内存访问(direct memory access,DMA)访存开销和RMA通信开销.此外,利用SW26010-Pro的两条硬件流水线和若干向量化计算/访存指令,还对BLAS 3级函数的矩阵-矩阵乘法、矩阵方程组求解、矩阵转置操作等若干运算进行手工汇编优化,提高了函数的浮点计算效率.实验结果显示,所提出的并行优化技术在SW26010-Pro处理器上为BLAS 3级函数带来了明显的性能提升,单核组BLAS 3级函数的浮点计算性能最高可达峰值性能的92%,多核组BLAS 3级函数的浮点计算性能最高可达峰值性能的88%. 展开更多
关键词 BLAS 3级 SW26010-Pro众核处理器 直接内存访问 远程内存访问 浮点计算效率
下载PDF
基于PCIe的多路传输系统的DMA控制器设计 被引量:10
9
作者 李胜蓝 姜宏旭 +1 位作者 符炜剑 陈姣 《计算机应用》 CSCD 北大核心 2017年第3期691-694,716,共5页
为了避免PCIe传输过程中PIO写延时、主机与嵌入式处理系统交互次数过多等问题对于传输带宽的影响,设计了一种基于命令缓冲机制的直接存储访问(DMA)控制器以提高传输带宽利用率。采用FPGA端内部设置命令缓冲区的方式,使得DMA控制器可以缓... 为了避免PCIe传输过程中PIO写延时、主机与嵌入式处理系统交互次数过多等问题对于传输带宽的影响,设计了一种基于命令缓冲机制的直接存储访问(DMA)控制器以提高传输带宽利用率。采用FPGA端内部设置命令缓冲区的方式,使得DMA控制器可以缓存PC端的数据传输请求,FPGA根据自身需求动态地访问PC端存储空间,增强了传输灵活性;同时,提出一种动态拼接的DMA调度方法,通过合并相邻存储区访问请求的方式,进一步减少主机与硬件的交互次数和中断产生次数。系统传输速率测试实验中,DMA写最高速率可达1 631 MB/s,DMA读最高速率可达1 582 MB/s,带宽最大值可达PCIe总线理论带宽值的85.4%;与传统PIO方式的DMA传输方法相比,DMA读带宽提升58%,DMA写带宽提升36%。实验结果表明,本设计能够有效提升DMA传输效率,明显优于PIO方式。 展开更多
关键词 PCIE 直接存储访问 高带宽 多路传输 FPGA
下载PDF
基于DMA的高速UART串口通信设计与实现 被引量:24
10
作者 牛洪海 臧峰 周绪贵 《自动化仪表》 CAS 2018年第9期45-48,共4页
使用直接内存存取(DMA)方式接收报文时,必须先向DMA控制器指定需要接收的字节。当DMA控制器接收到指定字节后,产生DMA传输中断。但是在实际应用时,串口接收报文的字节往往是不固定的。针对DMA必须接收固定长度的数据才产生传输中断的不... 使用直接内存存取(DMA)方式接收报文时,必须先向DMA控制器指定需要接收的字节。当DMA控制器接收到指定字节后,产生DMA传输中断。但是在实际应用时,串口接收报文的字节往往是不固定的。针对DMA必须接收固定长度的数据才产生传输中断的不足,设计了外部中断与定时器相结合的方法来解决这一问题。该方法兼顾了DMA传输不需要CPU干预的优点,又弥补了其不能传输不定长数据的缺陷。外部中断由一个与串口RXD引脚相连接的外部引脚产生。该中断产生的EVENT事件与定时器的输入事件相关联。设置定时器为Retrigger模式,接收到EVENT事件后清零定时器的累计时间;而当定时器一定时间内接收不到EVENT事件后就会超时产生中断,在超时中断服务程序中进行报文处理。由于使用了定时器超时中断进行报文处理,实现了不定长报文的接收和处理,满足了串口通常传输不定长报文的需求。 展开更多
关键词 直接内存存取 不定长 外部中断 通用异步收发器 定时器 超时中断
下载PDF
一种用于图像加速的DMA2D控制器 被引量:3
11
作者 王磊 王鑫 +2 位作者 王绍权 闫维高 齐贺飞 《半导体技术》 CAS 北大核心 2022年第7期564-569,共6页
随着片上系统(SoC)规模的不断增大,直接内存存取(DMA)控制器的功能也越来越完善,但目前对DMA控制器用于图像处理方面的理论研究和实现方法却鲜有报道。为了提高液晶屏(LCD)图像的刷新速度并降低内核的资源占用,提出了一种用于图像数据... 随着片上系统(SoC)规模的不断增大,直接内存存取(DMA)控制器的功能也越来越完善,但目前对DMA控制器用于图像处理方面的理论研究和实现方法却鲜有报道。为了提高液晶屏(LCD)图像的刷新速度并降低内核的资源占用,提出了一种用于图像数据处理的二维DMA(DMA2D)控制器。该控制器基于先进高性能总线(AHB)完成数据传输,支持多种RGB图像输入输出格式并且能够进行两层图像的混合处理运算。对DMA2D的技术和工作原理进行分析,提出了较为完善的DMA2D控制器的设计方案。后端设计基于28 nm工艺库,测试结果表明,DMA2D控制器的工作频率可达到180 MHz,面积仅为400μm×500μm,相比于通用DMA控制器,其面积减小约69%,功耗仅为2.97 mW。DMA2D控制器加速启用后,速度提升约60%,数据传输速度可达330 MiB/s,显著提高了液晶屏的图像刷新速度。 展开更多
关键词 直接内存存取(dma) 先进高性能总线(AHB)协议 物理设计 片上系统(SoC) 图像加速
下载PDF
TMS320C3x高速数据采集的DMA实现 被引量:2
12
作者 王金础 余松煜 《数据采集与处理》 EI CSCD 2000年第1期86-89,共4页
介绍了通用数字信号处理器 TMS32 0 C3x的 DMA结构及其应用设计 ,分析了采用 C3xDMA进行高速实时数据采集的硬件和软件设计要点 ,成功实现了 DMA高速数据采集和数据运算的并行处理 ,使系统具有较高的集成度和性价比。
关键词 数字信号处理 TMS320C3X dma 数据采集 雷达
下载PDF
MPEG-2解码芯片的AMBA总线和DMA控制器设计 被引量:1
13
作者 高勇 郭冬玉 杨媛 《计算机工程与应用》 CSCD 北大核心 2009年第26期68-71,77,共5页
MPEG-2是目前应用最广泛的数字音视频编码的国际标准,采用Top-Down的方法,完成了MPEG-2解码系统芯片中的AMBA(Advanced Microcontroller Bus Architecture)总线和DMA(Direct Memory Access)控制器的RTL级代码设计,并搭建测试平台进行功... MPEG-2是目前应用最广泛的数字音视频编码的国际标准,采用Top-Down的方法,完成了MPEG-2解码系统芯片中的AMBA(Advanced Microcontroller Bus Architecture)总线和DMA(Direct Memory Access)控制器的RTL级代码设计,并搭建测试平台进行功能仿真,最后通过了FPGA的验证。测试结果表明该设计能够在150MHz频率下工作,满足数字电视标清和高清的标准要求。 展开更多
关键词 MPEG-2 AMBA总线 dma控制器
下载PDF
利用EDMA实时传输数字视频图像 被引量:1
14
作者 徐德文 史忠科 胡海峰 《计算机工程与应用》 CSCD 北大核心 2004年第19期91-92,110,共3页
该文提出了一种利用EDMA实时传输数字视频图像信号的方法,给出了利用双通道EDMA实现数字视频信号传输的实例;实验证明,利用这个方法可以在没有CPU干预的情况下,有效地完成数据传输。
关键词 Edma 数据传输 EMIF CSL
下载PDF
14位A/D-MAX125与80186及DMA控制器的接口应用 被引量:2
15
作者 程传玲 苏志波 林军 《工业控制计算机》 2003年第2期19-20,共2页
本文介绍了一种14位多通道、高速A/D转换器MAX125与80186及DMA的接口应用。提出了基于DMA(直接存储器存取)的高速数据采集方法,并给出了MAX125与80186详细的接口电路及软件设计。
关键词 14位A/D-MAX125 14位A/D-MAX80186 dma控制器 A/D转换器 接口 高速数据采集方法
下载PDF
多通道PCI总线DMA控制器的软硬件联合设计
16
作者 乔庐峰 王志功 《电路与系统学报》 CSCD 2004年第2期73-78,共6页
对一种支持128个用户的PCI(Peripheral Component Interconnect)总线直接存储器访问控制器(DMAC:Direct Memory Access Controller)电路所采用的电路结构进行了分析,在任务级上对电路的功能进行了划分,并通过仿真得到了不同任务分别在... 对一种支持128个用户的PCI(Peripheral Component Interconnect)总线直接存储器访问控制器(DMAC:Direct Memory Access Controller)电路所采用的电路结构进行了分析,在任务级上对电路的功能进行了划分,并通过仿真得到了不同任务分别在采用嵌入式软件和硬件逻辑电路实现时的时间开销和硬件资源开销。在此基础上,采用面向软件的软硬件联合设计方法,以13.5万等效门实现了整个设计,并通过现场可编程门阵列(FPGA)在实际应用系统中进行了功能验证。 展开更多
关键词 超大规模集成电路 PCI总线 直接存储器访问 高级数据链路控制规程 现场可编程门阵列
下载PDF
DMA块传输方式在单片机系统中的应用
17
作者 石磊 夏秀营 《煤炭技术》 CAS 2003年第2期74-76,共3页
介绍的DMA硬件电路是基于单片机系统设计的 ,是以字块传输方式与高速A/D接口的 ;
关键词 直接存储器存取方式 单片机 dma 字块传输方式 数字式磁通表
下载PDF
基于CAN通信和UART通信的ECU板卡程序升级方案设计
18
作者 王霖 崔冬冬 +2 位作者 杨帆 付波 高吉磊 《铁道机车车辆》 北大核心 2024年第3期105-112,共8页
为实现电子控制单元内的板卡程序可靠升级,将MCU的存储区进行分割,设置了程序备份区域。介绍了基于统一诊断服务UDS协议和CAN通信的启动加载程序Bootloader升级板卡程序的方案,并在此基础上设计了基于Ymodem协议UART通信的在线程序升级... 为实现电子控制单元内的板卡程序可靠升级,将MCU的存储区进行分割,设置了程序备份区域。介绍了基于统一诊断服务UDS协议和CAN通信的启动加载程序Bootloader升级板卡程序的方案,并在此基础上设计了基于Ymodem协议UART通信的在线程序升级方法。该方法利用MCU支持边读边写功能,通过在应用程序里增加程序下载任务,使用Ymodem协议接收程序,并将程序烧写至备份区内,待板卡再次上电后,利用Bootloader将备份区内的程序搬运至程序运行区内,实现程序的更新。为降低程序下载任务对其他任务的影响,减少CPU的占用时间,程序下载任务通过直接存储器访问DMA方式获取串口数据,同时通过在任务中增加延迟防止其他任务被长时间挂起。 展开更多
关键词 统一诊断协议 启动加载程序 Ymodem协议 直接存储器访问
下载PDF
1MPC8280的AAL2适配与DMA通道驱动软件的实现
19
作者 邵凯 梁燕 黄俊 《国外电子元器件》 2008年第3期59-61,共3页
在TD-SCDMA网络中,ATM适配层采用AAL5和AAL2处理ATM数据。由于目前大部分网络处理器都只支持AAL5的适配,不适合TD网络测试仪的应用。本文介绍了TD-SCDMA网络测试仪中基于PowerPC8280的ATM数据采集与DMA传输的实现方案,重点说明了AAL2适... 在TD-SCDMA网络中,ATM适配层采用AAL5和AAL2处理ATM数据。由于目前大部分网络处理器都只支持AAL5的适配,不适合TD网络测试仪的应用。本文介绍了TD-SCDMA网络测试仪中基于PowerPC8280的ATM数据采集与DMA传输的实现方案,重点说明了AAL2适配以及利用PCI的DMA传输完成上层应用与底层硬件的数据交互。实际应用表明,文中提出的数据采集卡能够正确处理ATM协议的AAL2适配和DMA传输。 展开更多
关键词 MPC8280 ATM适配层2(AAL2) TD-SCdma 直接内存存取(dma)
下载PDF
信息交换中的DMA技术
20
作者 周文刚 李慧华 《周口师范学院学报》 CAS 2002年第5期56-60,共5页
DMA技术广泛应用于外围设备与内存成批信息交换的控制过程中 ,它的优势是在数据传送过程中不需CPU的参与 ,实现了CPU处理任务和内存数据交换的并行进行 ,提高了计算机的整体性能 .本文从DMA技术的硬件构成角度 。
关键词 dma技术 dma控制器 dmaC 数据交换 数据传送 I/O设备 信息交换
下载PDF
上一页 1 2 7 下一页 到第
使用帮助 返回顶部