期刊文献+
共找到43篇文章
< 1 2 3 >
每页显示 20 50 100
CRYSTAL STRUCTURE OF A LONG-PERIOD ORDERED PHASE IN Fe-C MARTENSITE AND COMPUTER SIMULATION OF ITS ELECTRON DIFFRACTION PATTERNS
1
作者 REN Xiaobing WANG Xiaotian +1 位作者 K.SHIMIZU T.TADAKI(National Laboratory of Solid State Microstructures,Nanjing University Nanjing 210093,China)(School of Materials Science and Engineering,Xi’an Jiaotong University Xi’an 710049,China)(Kanazawa Institute of Techn 《Acta Metallurgica Sinica(English Letters)》 SCIE EI CAS CSCD 1996年第1期23-26,共4页
Different structure models of a long-period ordered phase in Fe-C martenstie formed during aging have been checked by computer simulation of electron diffraction(ED) patterns based on these models.The results showed t... Different structure models of a long-period ordered phase in Fe-C martenstie formed during aging have been checked by computer simulation of electron diffraction(ED) patterns based on these models.The results showed that the simulated ED pattern of γ'-FexC(Ⅱ) model proposed by the present authors is in good agreement with experimentally observed ED pattern.It was also confirmed that the incommensurate superperiod stems from the coexistence of several γ'-Fe_xC(H) phases with different superperiods.The Fe(144)C(24)(Fe6C) model proposed by Uwakweh et al.generated ED patterns remarkably different from the experimental ones. 展开更多
关键词 Fe-C martensite long-period ordered phase crystal structure computer simulation electron diffraction pattern
下载PDF
Phase Identification Using Series of Selected Area Diffraction Patterns and Energy Dispersive Spectrometry within TEM
2
作者 Kun-Lin Lin 《Microscopy Research》 2014年第4期57-66,共10页
Transmission electron microscopy (TEM) is a very powerful technique for materials characteriza-tion, providing information relating to morphology, composition, and crystal structure. Selected area diffraction patterns... Transmission electron microscopy (TEM) is a very powerful technique for materials characteriza-tion, providing information relating to morphology, composition, and crystal structure. Selected area diffraction patterns (SADPs) are crystallographic data that can be obtained using a TEM in-strument. Conventional identification through SADP/TEM is tricky and tedious, thereby increasing the difficulty of phase identification. To establish a procedure for phase identification of known and unknown phases, in this study we examined two samples: one, a known phase, was Si with alignment;the other, unknown, was the TixOy phase at the 96.4Au-3Ni-0.6Ti interlayer/ yttria-stabilized zirconia (YSZ) interface of a steel/96.4Au-3Ni-0.6Ti interlayer/YSZ joint. The procedures for phase identification of the known and unknown phases are described herein using a series of SADPs and energy dispersive spectrometry within TEM that would be useful for general researchers. 展开更多
关键词 Phase Identification Transmission electron MICROSCOPY SELECTED Area diffraction pattern Energy DISPERSIVE Spectroscopy
下载PDF
透射电镜表征晶体结构的程序设计原理
3
作者 任令祺 陈亮维 +2 位作者 梁琦 李毅 虞澜 《云南大学学报(自然科学版)》 CAS CSCD 北大核心 2024年第1期114-119,共6页
表征晶体结构是透射电镜(TEM)的常规分析工作.文章介绍了在透射电子衍射方法下任意单晶体结构与衍射花样之间的关系.为了简化仪器操作和透射电子衍射数据分析,根据前述晶体结构与衍射花样的关系运用Python计算机语言设计智能化采集单晶... 表征晶体结构是透射电镜(TEM)的常规分析工作.文章介绍了在透射电子衍射方法下任意单晶体结构与衍射花样之间的关系.为了简化仪器操作和透射电子衍射数据分析,根据前述晶体结构与衍射花样的关系运用Python计算机语言设计智能化采集单晶透射电子衍射数据的操作系统和衍射数据分析程序.介绍了分析程序的主要功能,软件根据输入实际的TEM衍射花样计算出晶体结构、晶胞参数及衍射晶面指数.另外,软件还可以计算出任意晶体在某一取向下的衍射花样特征,例如计算了立方、四方、正交、六方和菱方晶系单晶在基本晶带轴下衍射花样特征.结果为材料研究者表征单晶结构提供了参考或有用的工具. 展开更多
关键词 单晶体 透射电子衍射 衍射花样 晶体结构分析 透射电镜
下载PDF
中太平洋富钴锰结壳水羟锰矿研究 被引量:5
4
作者 胡大千 初凤友 姚杰 《吉林大学学报(地球科学版)》 EI CAS CSCD 北大核心 2009年第4期706-710,748,共6页
采用场发射电镜(JSM-6700F)和透射电镜(JEM-2000FX)研究究了富钴结壳中水羟锰矿的形态和结构。结果表明:水羟锰矿单体片径一般为30-50nm,集合体成片状或鳞片状;获得了水羟锰矿d=0.1429nm的单晶和三连晶电子衍射结构;水羟锰矿中... 采用场发射电镜(JSM-6700F)和透射电镜(JEM-2000FX)研究究了富钴结壳中水羟锰矿的形态和结构。结果表明:水羟锰矿单体片径一般为30-50nm,集合体成片状或鳞片状;获得了水羟锰矿d=0.1429nm的单晶和三连晶电子衍射结构;水羟锰矿中Fe^3+、Co^3+、Ni^3+为高自旋态离子,Co^3+、Ni^3+、Cu^3+易与Mn‘’形成类质同像置换,部分Fe^3+与Mn^4+可能存在有限的类质同像置换,置换反应为3Mn^4+=4Co^3+(Ni^3+、Cu^3+、Fe^3+),体系总电价平衡。 展开更多
关键词 水羟锰矿 晶体形态 电子衍射结构 类质同像 富钴结壳 太平洋
下载PDF
Cu-Zn-Al形状记忆合金热处理与显微组织结构的关系 被引量:2
5
作者 孙丽虹 王瑞坤 +4 位作者 张希顺 千东范 高宝东 刘亚秀 陈家光 《稀有金属》 EI CAS CSCD 北大核心 1998年第6期460-465,共6页
运用扫描电镜及最新引进的电子背散射衍射装置研究了CuZnAl形状记忆合金材料显微结构和织构。将显微组织和晶体学分析相结合,在研究材料显微组织、成分的同时,研究材料中单个晶粒的取向和整体取向的统计学规律,探求热处理... 运用扫描电镜及最新引进的电子背散射衍射装置研究了CuZnAl形状记忆合金材料显微结构和织构。将显微组织和晶体学分析相结合,在研究材料显微组织、成分的同时,研究材料中单个晶粒的取向和整体取向的统计学规律,探求热处理条件与组织结构之间的关系。给出了CuZnAl形状记忆合金在轧板和热处理后的组织结构、微观织构和极图。 展开更多
关键词 形状记忆合金 组织结构 显微织构 热处理
下载PDF
合成电子衍射花样的计算机模拟及应用 被引量:1
6
作者 鲁世强 尚保忠 +2 位作者 罗子健 王仁卉 曾凡昌 《金属学报》 SCIE EI CAS CSCD 北大核心 1999年第5期554-560,共7页
开发了用于计算和绘制fcc基体与孪晶及fcc基体与密排六方。马氏体(hcp)之间两相合成电子衍射花样的计算机程序.利用该程序模拟了MP159合金大量低指数基体取向合成电子衍射花样模拟结果表明,能准确区分李晶和hcp相... 开发了用于计算和绘制fcc基体与孪晶及fcc基体与密排六方。马氏体(hcp)之间两相合成电子衍射花样的计算机程序.利用该程序模拟了MP159合金大量低指数基体取向合成电子衍射花样模拟结果表明,能准确区分李晶和hcp相的可靠基体取向为(011),(521)和(411),其中以(011)取向为最佳根据可靠某作取向,利用透射电子显微镜研究TMP159合金冷变形组织中的相组成结果表明,固溶状态下的fcc单相组织在冷变形时形成的呈网络分布的片状组织为含有高密度堆垛层错的形变孪晶;除了一些分布在堆垛层错中的hCP单元外。 展开更多
关键词 合成衍射花样 计算机模拟 MP159合金 冷变形组织
下载PDF
液相法电沉积类金刚石薄膜的结构分析 被引量:1
7
作者 何洋洋 张贵锋 +3 位作者 李国卿 侯晓多 李春燕 姜辛 《电子显微学报》 CAS CSCD 2007年第5期411-414,共4页
采用脉冲直流电源,以甲醇有机溶液作为碳源,在低温(60℃~70℃)常压条件下,在(100)硅片上沉积了类金刚石薄膜。用扫描电镜、透射电镜、电子衍射谱和拉曼光谱表征了薄膜的表面形貌和结构。结果表明:类金刚石薄膜致密均匀,表面粗糙度小;Ra... 采用脉冲直流电源,以甲醇有机溶液作为碳源,在低温(60℃~70℃)常压条件下,在(100)硅片上沉积了类金刚石薄膜。用扫描电镜、透射电镜、电子衍射谱和拉曼光谱表征了薄膜的表面形貌和结构。结果表明:类金刚石薄膜致密均匀,表面粗糙度小;Raman光谱在1332cm-1附近有一强峰,与金刚石的特征峰接近;电子衍射谱的分析结果表明薄膜中含有多晶金刚石和石墨碳相。 展开更多
关键词 类金刚石薄膜 电化学沉积 扫描电镜 电子衍射谱
下载PDF
电子背散射衍射技术在材料显微分析中的应用 被引量:6
8
作者 王疆 孙学鹏 郦剑 《热处理》 CAS 2008年第2期41-44,共4页
介绍了电子背散射衍射的原理、装置的构造、分析方法及其应用。电子背散射系统(EBSD)与能谱(EDS)和扫描电镜一起工作可同时分析试样特定微区的形貌、成分和取向。当电子束逐点扫描分析时,还可获得一种全新的图象即晶体取向分布图,从而... 介绍了电子背散射衍射的原理、装置的构造、分析方法及其应用。电子背散射系统(EBSD)与能谱(EDS)和扫描电镜一起工作可同时分析试样特定微区的形貌、成分和取向。当电子束逐点扫描分析时,还可获得一种全新的图象即晶体取向分布图,从而获取微区的形貌、成分及成分的定量分布图。以纯铁为例,对该技术进行了验证。 展开更多
关键词 电子背散射衍射 扫描电镜 晶体取向 电子背散射衍射花样
下载PDF
计算机辅助标定与绘制电子衍射图 被引量:3
9
作者 周天健 李云 《安徽工学院学报》 1989年第4期1-12,共12页
根据晶体极射投影图与电子衍射图的几何关系,本文提出一种可以标定、绘制七个晶系、五种点阵类型任意物相的电子衍射图的方法。讨论了该方法的数学模型、应用例子,编制了计算机程序。用AUTOCAD(计算机辅助设计和绘图)程序绘制电子衍射... 根据晶体极射投影图与电子衍射图的几何关系,本文提出一种可以标定、绘制七个晶系、五种点阵类型任意物相的电子衍射图的方法。讨论了该方法的数学模型、应用例子,编制了计算机程序。用AUTOCAD(计算机辅助设计和绘图)程序绘制电子衍射图。图形准确、可靠,可以编辑、存贮。 展开更多
关键词 电子衍射图 计算机 标定 晶体
下载PDF
高碳钢马氏体中孪晶亚结构的电子衍射花样特征 被引量:1
10
作者 胡其平 杨国涛 《矿冶工程》 EI CAS CSCD 北大核心 2001年第2期58-59,63,共3页
用电子衍射方法研究了高碳钢中的马氏体孪晶的结构 ,发现孪晶片厚度的最小值约为 0 7nm ,而非一般文献所认为的 5nm ;同一马氏体晶粒中具有相同孪生面的孪晶 ,尽管其具有相同的电子衍射谱 (EDP) 。
关键词 高碳钒钢 马氏体 孪晶 电子衍射花样 亚结构
下载PDF
Cu-25Cr合金触头材料中Cu/Cr相界面的特性(英文) 被引量:3
11
作者 苗柏和 郭晖 +2 位作者 张艳 刘国勋 王文斌 《电工材料》 CAS 2008年第4期3-8,共6页
用透射电子显微镜(TEM)的选区电子衍射、衍衬及X射线能谱分析了真空熔铸Cu-25Cr合金触头材料和烧结CuCr25粉末合金触头材料中Cu/Cr两相界面及其显微组织。一些细小的Cr二次枝晶与Cu基体有择优取向关系,即(110)Cr∥(111)Cu和[011]Cr∥[11... 用透射电子显微镜(TEM)的选区电子衍射、衍衬及X射线能谱分析了真空熔铸Cu-25Cr合金触头材料和烧结CuCr25粉末合金触头材料中Cu/Cr两相界面及其显微组织。一些细小的Cr二次枝晶与Cu基体有择优取向关系,即(110)Cr∥(111)Cu和[011]Cr∥[112]Cu,间距约6nm的单列错配位错分布在Cr/Cu两相的半共格界面上,这表明Cu-25Cr合金材料中Cr/Cu两相的界面具有更好的协调性。与Cu-25Cr合金材料相反,CuCr25粉末合金烧结材料中Cu/Cr粒子之间形成的是非共格界面,且有少量的Al2O3和Cr2O3等夹杂物聚集在松散的Cu/Cr颗粒间的界面上。据此,本文讨论了CuCr触头材料中Cu/Cr两相间的界面结构对材料机械性能、断裂特性及电接触性能的影响。 展开更多
关键词 CUCR触头材料 相界面 电子衍射花样 晶体学取向
下载PDF
关于Carine在晶体学方面的应用 被引量:4
12
作者 刘煜 《长沙大学学报》 2004年第4期37-39,共3页
介绍了晶体学软件carine在晶体可视化分析的相关应用,并对传统的单晶电子衍射花样指标化提出了新的方法.实践表明对晶体学软件的有效应用可大大提高晶体分析能力,简化了传统计算步骤.
关键词 Carine 晶体学 单晶电子衍射花样指标化
下载PDF
Carine法孪晶电子衍射花样的标定 被引量:2
13
作者 宋宝来 《中国铸造装备与技术》 CAS 2015年第4期59-60,共2页
论述了孪晶电子衍射斑点的形成原理,并对孪晶电子衍射花样的标定方法进行了分析,总结出各种标定方法的优点和不足,并采用了Carine法对孪晶衍射花样进行了标定。
关键词 孪晶电子衍射花样 Carine 标定
下载PDF
Cu-Ti合金Spinodal分解透射电镜衍射斑的计算机模拟
14
作者 王六定 冀邦杰 刘晓军 《西北工业大学学报》 EI CAS CSCD 北大核心 1999年第4期671-673,共3页
It is well known that the lattice waves in alloy can be looked upon as the superposition of a series of plane waves with different wave vectors. Because of these plane wave′s diffraction action for X photon, there ar... It is well known that the lattice waves in alloy can be looked upon as the superposition of a series of plane waves with different wave vectors. Because of these plane wave′s diffraction action for X photon, there are two satellites (sidebands) around X ray main diffraction peak. With the wavelength and asymmetric factor α y of rectangle wave of the distribution of concentration introduced, the amplitude of modulation wave appearing along some crysallographic direction can be expressed clearly in the form of a sum of several diffraction wave vectors in the reciprocal space, and the diffracted intensity can be obtained. The X ray diffraction angle of sidebands strongly depends on the distribution of the wavelength. Fig.1b gives the simulated X ray diffraction profiles. It shows that when we fix the average modulated wavelength and change the distribution of wavelength, the angle difference between the satellite and main diffraction peak varies correspondingly. The simulated diffraction profiles are in good agreement with experimental results [1] (Fig.1a). The more diffuse the distribution of wavelength is, the nearer the sidebands are to main peak, and vice versa. In addition, the intensity and position of satellite are obviously restricted by the asymmetric factor of wave shape. Any lattice wave propagating in crystal can be resolved along coordinate axes. On the basis of the principle of superposition, all compositions of the lattice wave have diffraction profiles of themselves. Add two diffraction patterns perpendicular to each other on the reciprocal plane which is normal to the projected direction, we get the simulated TEM diffraction pattern of spinodal decomposition. Fig.2a is the TEM pattern by Kubo H [2] , Fig.2b and 2c are the simulated TEM patterns by Kubo H [2] and Khachaturyan A G [3] respectively. Our simulated TEM pattern (Fig.2d) is in good agreement with Fig.2a. 展开更多
关键词 铜钛合金 SPINODAL分解 透射电镜衍射斑
下载PDF
以炭黑为原料温和条件下液相生长碳(质)微带
15
作者 张喜亮 涂善东 《华东理工大学学报(自然科学版)》 EI CAS CSCD 北大核心 2005年第3期379-381,共3页
以炭黑为原料,在温和条件下的无水乙醇相中,培养出从根本上不同于炭黑粒子聚集体结构的微米尺寸的带状结构碳(质)新型材料。采用扫描电子显微镜(SEM)、高分辨透射电子显微镜(HRTEM)、电子衍射对新材料结构进行了表征。研究结果表明:在... 以炭黑为原料,在温和条件下的无水乙醇相中,培养出从根本上不同于炭黑粒子聚集体结构的微米尺寸的带状结构碳(质)新型材料。采用扫描电子显微镜(SEM)、高分辨透射电子显微镜(HRTEM)、电子衍射对新材料结构进行了表征。研究结果表明:在温和条件下的有机溶剂中以炭黑为原料制备出形态与结构完全不同于炭黑的带状晶体结构新型碳(质)材料,统计结果显示碳(质)微带的厚度约为1~5μm,宽度约为5~30μm,长度可达数毫米。 展开更多
关键词 炭黑 碳(质)微带 扫描电子显微镜 高分辨透射电子显微镜 电子衍射
下载PDF
从电子衍射谱测定晶体取向关系的快速分析法 被引量:1
16
作者 王裕文 《华东冶金学院学报》 1989年第4期22-30,11,共9页
从电子衍射谱测定晶体取向关系的方法,过去都是用极图描点法借助于吴氏网进行手工操作。本文提出一种快速分析法,取向分析的全部过程由计算机自动完成,且准确、直观。它适用于各大晶系和点阵。经过多次运用,证明该方法是行之有效的。
关键词 电子衍射谱 晶体取向关系 测定
下载PDF
GaAs(001)_β2(2×4)表面RHEED图谱的虚拟设计
17
作者 崔英善 张正平 《计算机工程与应用》 CSCD 北大核心 2009年第6期82-84,88,共4页
为了保证有关国内一流大型仪器设备的实验教学质量,同时还能节省高校的实验耗费,在LabVIEW8.2开发环境下,通过对反射式高能电子衍射仪(RHEED)原理、样品砷化镓GaAs(001)_β2(2×4)表面原子结构及相关固体物理学知识的深入探究,运用... 为了保证有关国内一流大型仪器设备的实验教学质量,同时还能节省高校的实验耗费,在LabVIEW8.2开发环境下,通过对反射式高能电子衍射仪(RHEED)原理、样品砷化镓GaAs(001)_β2(2×4)表面原子结构及相关固体物理学知识的深入探究,运用图形化编程语言,采用数据流编程方式,设计实现了理论情况下的GaAs(001)_β2(2×4)表面原子结构RHEED图谱。该阶段性成果使得虚拟RHEED实验系统的研究开发有了突破性进展。 展开更多
关键词 虚拟仪器 虚拟仪器开发平台(LabVIEW) 砷化镓(GaAs) 反射式高能电子衍射仪(RHEED)图谱
下载PDF
多晶体电子衍射花样的标定
18
作者 宋宝来 《中国铸造装备与技术》 CAS 2016年第5期19-20,共2页
论述了多晶电子衍射斑点的形成原理,并对多晶电子衍射花样的标定方法进行了分析,总结出各种标定方法的优点和不足?并采用CAD法对多晶衍射花样进行了测量,计算与标定。
关键词 多晶电子衍射花样 标定 测量
下载PDF
电子衍射图的消光及约化四边形的选取
19
作者 李云 李宁 《安徽工学院学报》 1995年第3期33-36,共4页
引入空间群消光规律,用计算机模拟电子衍射图,提出选取约化四边形的新方法。
关键词 空间群消光 电子衍射图 约化四边形 金属
下载PDF
未知点阵晶体测定系统的实现与应用 被引量:4
20
作者 梁柱 韩明 刘文西 《电子显微学报》 CAS CSCD 北大核心 2002年第1期76-80,共5页
随着计算机技术的快速发展 ,越来越多的仪器采用计算机进行辅助分析。但是由于存在一定的困难 ,电子衍射谱的数据测量仍然处于手工操作阶段 ,测量过程十分繁琐 ,所得数据误差较大 ,不能适应在线分析的要求。本文针对这一问题 ,重点研究... 随着计算机技术的快速发展 ,越来越多的仪器采用计算机进行辅助分析。但是由于存在一定的困难 ,电子衍射谱的数据测量仍然处于手工操作阶段 ,测量过程十分繁琐 ,所得数据误差较大 ,不能适应在线分析的要求。本文针对这一问题 ,重点研究计算机自动识别电子衍射谱的算法 ,合理运用图像处理技术对衍射谱图像进行处理 ,旨在没有人为干预的情况下 ,实现自动、快速、精确地识别与测量电子衍射谱 ,为后续的分析软件提供电子衍射数据 ,并完成衍射谱的标定实验证明 ,由计算机自动识别和测量的数据符合所需的精度要求 ,完全能够在实际工作中应用。本软件使用VisualC ++编制 。 展开更多
关键词 电子衍射谱 计算机识别 图像处理 未知点阵晶体 测定
下载PDF
上一页 1 2 3 下一页 到第
使用帮助 返回顶部