期刊文献+
共找到211,853篇文章
< 1 2 250 >
每页显示 20 50 100
适应并离网模式切换的DFIG电磁暂态仿真建模
1
作者 于光耀 杨帮宇 +3 位作者 李振斌 崇志强 李惠玲 黄丽妍 《电网与清洁能源》 CSCD 北大核心 2024年第2期95-102,共8页
目前,常用的商业仿真软件中的双馈式感应发电机(double-fed Induction Generator,DFIG)模型通常不支持离网运行模式,难以实现风力发电系统由离网到并网的全过程电磁暂态仿真,对电力系统仿真分析工作带来极大不便。研究适应并离网模式切... 目前,常用的商业仿真软件中的双馈式感应发电机(double-fed Induction Generator,DFIG)模型通常不支持离网运行模式,难以实现风力发电系统由离网到并网的全过程电磁暂态仿真,对电力系统仿真分析工作带来极大不便。研究适应并离网模式切换的DFIG电磁暂态仿真建模方法。基于模块化思想分别对风力机、异步电机、变流器以及断路器进行建模,在系统组装形成DFIG风力发电系统电磁暂态仿真模型。提出了适应并离网模式切换的DFIG受控源等效电路模型和离散时间域实现方法,通过设计状态变量矩阵元素的截取和扩充算法实现了模型在并离网模式下的无缝衔接。在MATLAB/Simulink中搭建DFIG发电系统模型对所提方法和模型进行验证,仿真结果表明,所提建模方法能够很好地支持DFIG离网运行模式,实现DFIG由离网到并网的全过程电磁暂态仿真。 展开更多
关键词 双馈式感应发电机(dfig) 并离网切换 电磁暂态仿真 建模方法
下载PDF
一种多地DFIG联动控制的多馈入受端系统连续换相失败抑制策略
2
作者 江毅 翁汉琍 +7 位作者 曹善康 吴宇奇 熊振钦 梅瀚予 林湘宁 魏繁荣 李正天 李振兴 《中国电机工程学报》 EI CSCD 北大核心 2024年第9期3452-3462,I0009,共12页
我国沿海负荷中心呈现直流多馈入的结构特征,海上风电的大规模开发使得系统在非正常工况下容易诱发次同步振荡,电压的快速波动可能会造成连续换相。针对该现象进行机理分析,通过优化双馈风电机组(doubly fed induction generator,DFIG)... 我国沿海负荷中心呈现直流多馈入的结构特征,海上风电的大规模开发使得系统在非正常工况下容易诱发次同步振荡,电压的快速波动可能会造成连续换相。针对该现象进行机理分析,通过优化双馈风电机组(doubly fed induction generator,DFIG)的控制方法,有效抑制了次同步振荡。同时,针对不同区域风电场调节能力差异,通过关联各直流落点的多馈入交互作用因子(multi-infeed interaction factor,MIIF),充分调动不同区域风电场的补偿能力抬升系统电压。该方法有效地抑制直流多馈入系统的异地连续换相失败。最后,通过PSCAD仿真对比验证所提策略的有效性。 展开更多
关键词 双馈风电场(dfig) 次同步振荡 直流多馈入 连续换相失败
下载PDF
不平衡电压下的DFIG模型预测直接功率控制
3
作者 王豪 董锋斌 +1 位作者 王凯 史新涛 《电力系统及其自动化学报》 CSCD 北大核心 2024年第4期84-93,共10页
为提高双馈风力发电机在不平衡电网电压工况下的运行性能,提出一种扩张状态观测器与模型预测控制相结合的直接功率控制策略。首先,采用扩张状态观测器对系统中因负序分量引起的不确定项、模型误差及外部扰动等不确定因素进行参数估计。... 为提高双馈风力发电机在不平衡电网电压工况下的运行性能,提出一种扩张状态观测器与模型预测控制相结合的直接功率控制策略。首先,采用扩张状态观测器对系统中因负序分量引起的不确定项、模型误差及外部扰动等不确定因素进行参数估计。然后,结合模型预测控制理论建立双馈风力发电机模型预测直接功率控制数学模型。最后,针对不平衡电网电压下的功率振荡分量讨论功率优化补偿方案。仿真结果验证了所提方法的有效性。 展开更多
关键词 双馈风力发电机 不平衡电压 扩张状态观测器 模型预测直接功率控制 鲁棒性
下载PDF
采用可控负荷灵敏度的DFIG并网电力系统低频振荡模式抑制
4
作者 李生虎 方天扬 叶剑桥 《中国电机工程学报》 EI CSCD 北大核心 2024年第7期2641-2654,I0011,共15页
双馈感应发电机(doubly fed induction generator,DFIG)并网加剧了低频振荡(low-frequency oscillations,LFO)。电力市场和智能用电设备,使得负荷可参与阻尼控制。此时危险模式阻尼比受负荷影响,增加特征灵敏度解析表达难度。现有恒阻... 双馈感应发电机(doubly fed induction generator,DFIG)并网加剧了低频振荡(low-frequency oscillations,LFO)。电力市场和智能用电设备,使得负荷可参与阻尼控制。此时危险模式阻尼比受负荷影响,增加特征灵敏度解析表达难度。现有恒阻抗模型,不能反映综合负荷对小扰动稳定影响;异步电机模型精确,但参数辨识难度大,很难用于小扰动稳定分析。该文为避免潮流计算和稳定分析中负荷模型差异,采用ZIP负荷模型修正系统状态方程。以潮流解对负荷灵敏度为中间变量,提出危险模式阻尼比对负荷灵敏度的解析表达。引入准比例谐振控制(quasi-proportional resonance,qPR)调节负荷,相应修正阻尼比灵敏度,以改善阻尼控制的鲁棒性。仿真结果验证了所提算法的控制效果。 展开更多
关键词 双馈感应发电机 低频振荡 阻尼控制 ZIP负荷 准比例谐振控制 灵敏度
下载PDF
基于LESO的DFIG-GSC反馈线性化直接功率控制
5
作者 王凯 董锋斌 +1 位作者 王豪 史新涛 《陕西理工大学学报(自然科学版)》 2024年第1期10-19,共10页
为了提高双馈风力发电机网侧变换器(DFIG-GSC)这个强耦合非线性系统的性能,提出了一种反馈线性化直接功率控制策略。首先在αβ坐标系下建立以有功功率、无功功率为变量的DFIG-GSC的数学模型,针对模型中的强耦合项采用反馈线性化进行解... 为了提高双馈风力发电机网侧变换器(DFIG-GSC)这个强耦合非线性系统的性能,提出了一种反馈线性化直接功率控制策略。首先在αβ坐标系下建立以有功功率、无功功率为变量的DFIG-GSC的数学模型,针对模型中的强耦合项采用反馈线性化进行解耦,得到其线性模型,再结合滑模控制理论设计出功率内环的控制律。由于功率内环控制律中未建模部分和不确定因素会对控制效果产生影响,采用线性扩张状态观测器对其进行观测并对其控制量进行修正。同时电压外环采用滑模控制来提高直流侧电压的响应速度。最后搭建仿真模型与传统PI控制以及直接功率控制进行比较,验证了所提控制策略的合理性和有效性。 展开更多
关键词 双馈风力发电机 变换器 直接功率 反馈线性化 线性扩张状态观测器
下载PDF
基于SSO多扰动输入机理分析的DFIG-GSC功率振荡抑制策略研究
6
作者 孙东阳 钱梓杰 +3 位作者 申文强 孟繁易 于德亮 吴晓刚 《电机与控制学报》 EI CSCD 北大核心 2024年第2期99-109,共11页
电网次同步振荡(SSO)已成为桎梏新能源发展的主要问题之一,针对SSO下双馈感应发电机(DFIG)中网侧变流器(GSC)的功率振荡问题展开研究。首先,建立SSO对GSC的多扰动输入数学模型,探究不同扰动输入的性质以及其对GSC系统的影响,明确了针对... 电网次同步振荡(SSO)已成为桎梏新能源发展的主要问题之一,针对SSO下双馈感应发电机(DFIG)中网侧变流器(GSC)的功率振荡问题展开研究。首先,建立SSO对GSC的多扰动输入数学模型,探究不同扰动输入的性质以及其对GSC系统的影响,明确了针对物理量扰动以及信号扰动分别采用补偿与滤除两种不同的抑制方法。其次,针对锁相环(PLL)输出误差经过坐标变换产生耦合振荡的问题,建立PLL输出误差角度的频域数学模型,并通过设计一种改进PLL消除其输出误差对GSC的信号扰动影响。同时,设计一种准谐振控制器的自适应算法,并提出基于自适应准谐振控制器的DFIG-GSC功率振荡抑制策略,消除SSO对GSC的物理扰动影响;最后,通过搭建具有SSO模拟环境的DFIG实验平台,验证本文所提控制策略的有效性。 展开更多
关键词 双馈感应发电机 网侧变流器 锁相环 次同步振荡 振荡频率变化 自适应准谐振控制器
下载PDF
基于硬件在环仿真的DFIG并网系统开环模式谐振风险及验证
7
作者 陈江 杜文娟 杨佳 《南方电网技术》 CSCD 北大核心 2024年第2期98-105,132,共9页
开环模式谐振理论是阐释风电并网引起的电力系统振荡机理的研究成果,其中基于平均模型的离线时域仿真结果可信度存疑。为提升开环模式谐振的工程指导可靠度,首先基于硬件在环仿真,组建了用于验证开环模式谐振的半实物实验平台;其次建立... 开环模式谐振理论是阐释风电并网引起的电力系统振荡机理的研究成果,其中基于平均模型的离线时域仿真结果可信度存疑。为提升开环模式谐振的工程指导可靠度,首先基于硬件在环仿真,组建了用于验证开环模式谐振的半实物实验平台;其次建立双馈风电场并网系统的小信号模型,提出开环模式分析通用方法评估系统振荡风险;最后以两个算例进行了开环模式谐振现象的分析与实验。得到结论:硬件在环仿真的结果符合开环模式分析的结果,在开环模式谐振条件下,系统稳定性下降。留数法可以较为准确地预测系统闭环模式,系统参数的合理整定可以减小开环模式谐振带来的影响。 展开更多
关键词 开环模式谐振 硬件在环仿真 双馈感应发电风电场 振荡风险 稳定性分析
下载PDF
计及风电PSS与PLL耦合对功角振荡影响的DFIG控制参数协调优化
8
作者 李生虎 齐楠 夏伟健 《高电压技术》 EI CAS CSCD 北大核心 2024年第4期1571-1582,I0035,共13页
双馈感应发电机(doubly fed induction generator,DFIG)装设电力系统稳定器(power system stabilizer,PSS),有助于抑制同步发电机间功角振荡,但抑制效果受DFIG锁相环(phase-locked loop,PLL)跟踪误差影响。考虑PSS与PLL耦合特性对功角... 双馈感应发电机(doubly fed induction generator,DFIG)装设电力系统稳定器(power system stabilizer,PSS),有助于抑制同步发电机间功角振荡,但抑制效果受DFIG锁相环(phase-locked loop,PLL)跟踪误差影响。考虑PSS与PLL耦合特性对功角振荡的影响,提出改善振荡抑制效果的DFIG控制参数协调优化算法。首先基于DFIG有功控制的分解等效结构绘制DFIG-PSS与锁相误差的耦合路径,提出耦合特性解析表达。然后建立耦合解析表达对控制参数的轨迹灵敏度向量,以向量2-范数之比定义耦合强度,量化耦合特性对功角振荡的影响程度。最后基于耦合强度指标,提出带有PLL参数动态不等式约束的多步优化模型,以协调DFIG控制参数取值,提高并网系统对功角振荡的抑制效果。仿真结果证实了耦合特性对功角振荡的影响,验证了所提协调优化算法的有效性。 展开更多
关键词 功角振荡 双馈感应发电机 电力系统稳定器 锁相环 耦合特性 多步协调优化
下载PDF
Numerical Study on the Effect of Vortex Generators on the Aerodynamic Drag of a High-Speed Train
9
作者 Tian Li Hao Liang +1 位作者 Zerui Xiang Jiye Zhang 《Fluid Dynamics & Materials Processing》 EI 2024年第2期463-473,共11页
A relatively high aerodynamic drag is an important factor that hinders the further acceleration of high-speed trains.Using the shear stress transport(SST)k-ωturbulence model,the effect of various vortex generator typ... A relatively high aerodynamic drag is an important factor that hinders the further acceleration of high-speed trains.Using the shear stress transport(SST)k-ωturbulence model,the effect of various vortex generator types on the aerodynamic characteristics of an ICE2(Inter-city Electricity)train has been investigated.The results indi-cate that the vortex generators with wider triangle,trapezoid,and micro-ramp arranged on the surface of the tail car can significantly change the distribution of surface pressure and affect the vorticity intensity in the wake.This alteration effectively reduces the resistance of the tail car.Meanwhile,the micro-ramp vortex generator with its convergent structure at the rear exhibits enhancedflow-guiding capabilities,resulting in a 15.4%reduction in the drag of the tail car. 展开更多
关键词 Vortex generator aerodynamic drag REDUCTION numerical simulation
下载PDF
Analysis of pseudo-random number generators in QMC-SSE method
10
作者 刘东旭 徐维 张学锋 《Chinese Physics B》 SCIE EI CAS CSCD 2024年第3期75-79,共5页
In the quantum Monte Carlo(QMC)method,the pseudo-random number generator(PRNG)plays a crucial role in determining the computation time.However,the hidden structure of the PRNG may lead to serious issues such as the br... In the quantum Monte Carlo(QMC)method,the pseudo-random number generator(PRNG)plays a crucial role in determining the computation time.However,the hidden structure of the PRNG may lead to serious issues such as the breakdown of the Markov process.Here,we systematically analyze the performance of different PRNGs on the widely used QMC method known as the stochastic series expansion(SSE)algorithm.To quantitatively compare them,we introduce a quantity called QMC efficiency that can effectively reflect the efficiency of the algorithms.After testing several representative observables of the Heisenberg model in one and two dimensions,we recommend the linear congruential generator as the best choice of PRNG.Our work not only helps improve the performance of the SSE method but also sheds light on the other Markov-chain-based numerical algorithms. 展开更多
关键词 stochastic series expansion quantum Monte Carlo pseudo-random number generator
下载PDF
计及风力发电机转速安全约束的DFIG一次调频模型预测控制策略
11
作者 周涛 张锋杨 +2 位作者 徐妍 王亚伦 纪子洋 《南京理工大学学报》 CAS CSCD 北大核心 2024年第2期155-164,共10页
针对传统方法控制双馈感应发电机(DFIG)的调频效果过于依赖参数整定的问题,该文提出了一种基于模型预测控制(MPC),计及转速安全约束的风力发电机参与一次调频控制策略。该方法结合风力发电机动力学模型与频率响应模型建立预测模型,通过... 针对传统方法控制双馈感应发电机(DFIG)的调频效果过于依赖参数整定的问题,该文提出了一种基于模型预测控制(MPC),计及转速安全约束的风力发电机参与一次调频控制策略。该方法结合风力发电机动力学模型与频率响应模型建立预测模型,通过线性回归方法变参考值将风力发电机转速的稳定性纳入考虑。相较于虚拟惯性控制等方法将系统频率偏差与转子动能直接关联,该文方法通过建立全新的预测模型,实时统筹考虑整个系统的状态信息,无需反复调整参数,在考虑转速安全性的同时兼顾全局性能。最后,通过实际算例分析验证了该文调频策略的有效性以及相对现有方法的优越性。 展开更多
关键词 双馈感应发电机 一次调频 频率响应模型 模型预测控制 转子动能控制 虚拟惯性控制 转速安全 线性回归
下载PDF
DFIG暂态特性分析及联合HVRT控制策略
12
作者 王艳娟 孙潇 曹德印 《微电机》 2024年第3期52-58,共7页
双馈感应风力发电机(DFIG)高电压穿越(HVRT)问题中,其故障响应等效于在原有的稳态响应基础上增加一个电压骤升分量,以此来分析HVRT的暂态特性;针对传统“灭磁”控制无法对T_(e)进行有效控制,且要求对ψs进行精确测量的不足,本文提出一... 双馈感应风力发电机(DFIG)高电压穿越(HVRT)问题中,其故障响应等效于在原有的稳态响应基础上增加一个电压骤升分量,以此来分析HVRT的暂态特性;针对传统“灭磁”控制无法对T_(e)进行有效控制,且要求对ψs进行精确测量的不足,本文提出一种电流追踪控制策略实现DFIG的HVRT。在此基础上,依据随R_(r)的增加,ξ随之增加,且ξ越大,抑制DFIG振荡作用越明显,提出附加阻抗控制。DFIG联合控制策略结构简单,效果显著,克服了灭磁控制无法对T_(e)进行控制的弊端,且最小化了DFIG的脉动程度,实现了DFIG的广义故障穿越。 展开更多
关键词 双馈感应风力发电机 高电压穿越 暂态特性 电流追踪 附加阻抗
下载PDF
单闭环与去磁控制相协调的DFIG高电压穿越控制策略研究
13
作者 王艳娟 孙潇 李万禹 《微电机》 2024年第4期71-76,共6页
双馈感应风力发电机(DFIG)高电压穿越(HVRT)过程中,传统的网侧换流器(GSC)双闭环控制中,不仅外环对DC-link电压振荡反应有延时,且对内环的补偿也有一定的滞后效应;此外,传统的转子侧换流器(RSC)控制对其容量利用率较低,在HVRT时,存在向... 双馈感应风力发电机(DFIG)高电压穿越(HVRT)过程中,传统的网侧换流器(GSC)双闭环控制中,不仅外环对DC-link电压振荡反应有延时,且对内环的补偿也有一定的滞后效应;此外,传统的转子侧换流器(RSC)控制对其容量利用率较低,在HVRT时,存在向转子注入无功不足等问题。针对上述情况,本文提出一种单闭环控制与去磁控制相协调的HVRT控制方案。仿真结果表明,GSC单闭环与RSC去磁相协调的HVRT控制策略,不仅有效抑制了HVRT过程中DC-link电压的波动,克服了传统控制的弊端,而且在保证功率平衡及RSC容量完全利用的状态下向转子侧注入了无功,提高了RSC利用率,成功实现DFIG的故障穿越。 展开更多
关键词 双馈感应风力发电机 高电压穿越 DC-link电压 单闭环 去磁控制
下载PDF
电网电压骤升故障时DFIG暂态电流特性研究
14
作者 王艳娟 孙潇 《河北电力技术》 2024年第1期13-17,共5页
为研究双馈感应风力发电机(DFIG)在电网电压对称骤升和不对称骤升故障下的暂态电流特性,提高故障承受能力,详细分析了电网电压对称骤升和不对称骤升故障下DFIG的定子、转子磁链暂态过渡过程。在此基础上,推导出双馈风力发电机定子、转... 为研究双馈感应风力发电机(DFIG)在电网电压对称骤升和不对称骤升故障下的暂态电流特性,提高故障承受能力,详细分析了电网电压对称骤升和不对称骤升故障下DFIG的定子、转子磁链暂态过渡过程。在此基础上,推导出双馈风力发电机定子、转子暂态电流表达式,研究其故障电流特性。利用MATLAB/Simulink仿真平台对所得解析表达式与时域仿真结果进行比较,仿真波形与理论波形可以很好的吻合,验证了DFIG故障电流特性的正确性,为准确分析DFIG故障承受能力提供直接依据。 展开更多
关键词 双馈感应风力发电机 故障电流特性 暂态特性 高电压穿越
下载PDF
基于无源控制的DFIG并网次同步控制相互作用抑制策略研究
15
作者 李生虎 叶剑桥 陈东 《电力系统保护与控制》 EI CSCD 北大核心 2023年第11期77-85,共9页
为抑制双馈感应发电机(doubly-fed induction generator,DFIG)风电场与串补输电线路间次同步控制相互作用(sub-synchronous control interaction,SSCI),提出基于改进无源控制的SSCI抑制策略。首先,分析了DFIG并网中SSCI发生机理,发现转... 为抑制双馈感应发电机(doubly-fed induction generator,DFIG)风电场与串补输电线路间次同步控制相互作用(sub-synchronous control interaction,SSCI),提出基于改进无源控制的SSCI抑制策略。首先,分析了DFIG并网中SSCI发生机理,发现转子侧变流器双环PI控制对SSCI影响较大,可对PI控制进行改进以抑制SSCI。其次,基于DFIG欧拉-拉格朗日(Euler-Lagrange,EL)模型和无源理论,设计转子侧无源控制器,通过计算稳定状态点,注入阻尼抑制SSCI。为提高抑制能力,采用带通滤波器改进无源控制,通过保持输入信号稳定提升控制器性能。最后,给出小干扰分析和时域仿真分析结果,并与PI控制和常规无源控制对比,验证所提策略在串补度变化和风速变化时的抑制效果。 展开更多
关键词 dfig 次同步控制相互作用 振荡抑制 无源控制 欧拉-拉格朗日
下载PDF
基于DFIG稳态有功功率下限和电网小扰动稳定灵敏度的DFIG有功功率备用容量范围 被引量:1
16
作者 李生虎 陈东 +1 位作者 齐楠 夏伟健 《南方电网技术》 CSCD 北大核心 2023年第8期1-10,共10页
随着风电并网的快速增加,要求风电机组如双馈感应风电机组(doubly-fed induction generator,DFIG)参与电网有功平衡和频率稳定,为此需要确定其有功功率备用容量范围。提出了超速模式下确定DFIG有功功率备用容量范围的方法。建立了并网D... 随着风电并网的快速增加,要求风电机组如双馈感应风电机组(doubly-fed induction generator,DFIG)参与电网有功平衡和频率稳定,为此需要确定其有功功率备用容量范围。提出了超速模式下确定DFIG有功功率备用容量范围的方法。建立了并网DFIG的潮流模型,计及转速与转子侧变流器(rotor-side converter,RSC)的容量约束,确定了DFIG稳态有功功率下限。在此运行方式下,对电网进行了特征值分析以发现危险模式。考虑转差率影响初值和状态矩阵,拓展了潮流雅可比矩阵,建立了危险模式对转差率灵敏度的解析表达。根据上述灵敏度,筛选出与转差率强相关的危险模式,通过调节PI参数或/和转差率以消除危险模式。最后根据转差率取值确定出DFIG有功功率的备用容量范围。算例仿真结果验证了所提算法的正确性和控制效果。 展开更多
关键词 双馈感应发电机 有功功率备用容量范围 稳态有功功率下限 特征值灵敏度 小扰动稳定约束
下载PDF
并网DFIG多通道附加阻尼控制器设计及其控制参数整定 被引量:2
17
作者 李生虎 张奥博 +1 位作者 夏伟健 汪壮 《电力自动化设备》 EI CSCD 北大核心 2023年第7期73-79,共7页
针对双馈感应发电机(DFIG)并网电力系统中多低频振荡(LFO)抑制的问题,提出一种基于DFIG的多通道附加阻尼控制器(MBSDC)设计及其参数整定方法,用于增加系统多LFO模式的阻尼。建立DFIG并网系统状态矩阵,由特征值分析筛选出待抑制的LFO模式... 针对双馈感应发电机(DFIG)并网电力系统中多低频振荡(LFO)抑制的问题,提出一种基于DFIG的多通道附加阻尼控制器(MBSDC)设计及其参数整定方法,用于增加系统多LFO模式的阻尼。建立DFIG并网系统状态矩阵,由特征值分析筛选出待抑制的LFO模式,并设计了MBSDC控制策略。在DFIG-MBSDC系统线性化模型基础上,推导目标LFO模式对相应抑制通道传递函数灵敏度的解析表达,以量化多LFO模式与MBSDC传递函数间的联系,应用于控制参数整定。特征值分析与时域仿真结果表明,所提DFIG-MBSDC能抑制DFIG系统中多LFO模式。基于传递函数灵敏度对控制参数进行整定,提高多LFO的抑制效果。 展开更多
关键词 双馈感应发电机 风电系统 低频振荡 多通道附加阻尼控制器 传递函数灵敏度
下载PDF
基于两级轨迹灵敏度分析的DFIG动态全过程仿真简化建模方法
18
作者 张宇 秦文萍 +3 位作者 曹锐 朱志龙 薛邵锴 王科 《电力自动化设备》 EI CSCD 北大核心 2023年第4期114-120,共7页
双馈感应发电机(DFIG)的简化建模对系统稳定性分析至关重要,现有建模方法往往只适用于单一时间尺度下某一具体问题的分析,缺乏对动态全过程仿真建模的研究。提出一种适用于动态全过程仿真的DFIG简化建模方法。根据多时间尺度模型简化原... 双馈感应发电机(DFIG)的简化建模对系统稳定性分析至关重要,现有建模方法往往只适用于单一时间尺度下某一具体问题的分析,缺乏对动态全过程仿真建模的研究。提出一种适用于动态全过程仿真的DFIG简化建模方法。根据多时间尺度模型简化原理建立DFIG各控制的动态模型和简化模型;从控制参数入手,提出两级轨迹灵敏度分析方法,研究在动态全过程仿真中主导DFIG输出特性的关键控制;提出一种模型切换控制策略,根据两级轨迹灵敏度分析结果,通过设置阈值建立可调节的模型切换判据,在动态全过程仿真中分时调用各控制的动态模型和简化模型,在保证模型精度的同时实现模型的降阶和简化。最后,通过仿真对比验证所提建模方法的有效性。 展开更多
关键词 双馈感应发电机 动态全过程仿真 两级轨迹灵敏度分析 模型切换 简化建模方法
下载PDF
基于PMU实测数据的DFIG风电场等值模型鲁棒性与适应性分析
19
作者 张剑 崔明建 何怡刚 《太阳能学报》 EI CAS CSCD 北大核心 2023年第10期320-328,共9页
对传统聚合方法无法解决风电场长期运行过程中产生的参数变化问题,该文基于实测数据建立双馈感应发电机(DFIG)风电场详细等值模型与初始化方法,分析时变参数的轨迹灵敏度,提出首先将非时变参数固定为聚合值,然后利用风电场公共并网点相... 对传统聚合方法无法解决风电场长期运行过程中产生的参数变化问题,该文基于实测数据建立双馈感应发电机(DFIG)风电场详细等值模型与初始化方法,分析时变参数的轨迹灵敏度,提出首先将非时变参数固定为聚合值,然后利用风电场公共并网点相量测量单元(PMU)数据针对时变参数采用基因学习粒子群(GLPSO)混合算法进行参数辨识的策略。采用WECC标准算例分析不同风速、尾流效应、部分风电机组离线、风速未知、不同短路故障位置与电压暂降深度情形下等值模型的鲁棒性与适应性。仿真算例表明所提出的参数辨识方法全局寻优能力远高于标准粒子群与遗传算法。而且,对于高灵敏度参数,参数辨识结果与真实值的最大偏差小于10%,远优于目前技术水平。 展开更多
关键词 双馈风力机 风电场等值模型 参数辨识 轨迹灵敏度 配网阻抗 电力系统
下载PDF
考虑转子磁链-电流环控制动态的虚拟惯量DFIG功频响应特性建模 被引量:1
20
作者 李国庆 刘先超 +1 位作者 姜涛 王长江 《电网技术》 EI CSCD 北大核心 2023年第12期4897-4905,共9页
含有虚拟惯量控制的双馈风电机组的频率响应特性受风电本体和控制环节等因素影响,该文提出一种考虑转子磁链-电流环控制动态的虚拟惯量双馈风电机组功频响应特性建模方法。首先,分析含虚拟惯量控制的双馈风电机组在受扰后的运行特性,基... 含有虚拟惯量控制的双馈风电机组的频率响应特性受风电本体和控制环节等因素影响,该文提出一种考虑转子磁链-电流环控制动态的虚拟惯量双馈风电机组功频响应特性建模方法。首先,分析含虚拟惯量控制的双馈风电机组在受扰后的运行特性,基于小信号线性化方法分别建立风轮机、异步发电机及其轴系、转子侧功率环控制以及电流环控制的状态方程;基于定子磁链定向原理化简转子磁链-电流环线性化方程,利用状态方程建立考虑转子磁链-电流环控制的风电机组五阶闭环传递函数;通过频域法量化电流环作用的转子磁链频域响应,剖析所提模型与已有简化模型之间的区别,采用摄动理论分析电流环控制参数对所提模型有功功率-频率响应的影响。最后,在含双馈风电的5节点测试系统与某实际电力系统算例中对比时域仿真结果,结果表明:所提模型的功频响应精度高、适用性强。 展开更多
关键词 双馈风电 小信号模型 转子磁链 电流环 虚拟惯量 控制参数
下载PDF
上一页 1 2 250 下一页 到第
使用帮助 返回顶部