期刊文献+
共找到248篇文章
< 1 2 13 >
每页显示 20 50 100
System-on-Chip Design Using High-Level Synthesis Tools 被引量:7
1
作者 Erdal Oruklu Richard Hanley +3 位作者 Semih Aslan Christophe Desmouliers Fernando M. Vallina Jafar Saniie 《Circuits and Systems》 2012年第1期1-9,共9页
This paper addresses the challenges of System-on-Chip designs using High-Level Synthesis (HLS). HLS tools convert algorithms designed in C into hardware modules. This approach is a practical choice for developing comp... This paper addresses the challenges of System-on-Chip designs using High-Level Synthesis (HLS). HLS tools convert algorithms designed in C into hardware modules. This approach is a practical choice for developing complex applications. Nevertheless, certain hardware considerations are required when writing C applications for HLS tools. Hence, in order to demonstrate the fundamental hardware design concepts, a case studyis presented. Fast Fourier Transform (FFT) implementation in ANSI C is examined in order to explore the important design issues such as concurrency, data recurrences and memory accesses that need to be resolved before generating the hardware using HLS tools. There are additional language constraints that need to be addressed including use of pointers, recursion and floating point types. 展开更多
关键词 System level DESIGN high level synthesis Field PROGRAMMABLE GATE Arrays FOURIER Transform
下载PDF
ON THE OPTIMIZATION OF VLSI ALLOCATION IN HIGH-LEVEL SYNTHESIS 被引量:1
2
作者 He Zhongli Zhou Dian Hu Qingsheng Zhuang Zhenquan(Department of Electronic Engineering, University of Science and Technology of China, Hefei 230026) (The University of North Carolina at Charlotte) 《Journal of Electronics(China)》 2000年第3期279-288,共10页
Allocation is one of main tasks in the high-level synthesis. It includes module , functional unit allocation, storage allocation and interconnection allocation. This paper models the allocation problem as cluster anal... Allocation is one of main tasks in the high-level synthesis. It includes module , functional unit allocation, storage allocation and interconnection allocation. This paper models the allocation problem as cluster analysis and applies a new algorithm, neighbor state transition (NST) algorithm, for cluster optimization. It is proved that the algorithm produces an asymptotically global optimal solution with the upper bound on the cost function (1 + O(1/n)2-ε)F*, When F" is the cost of the optimum solution, n is the problem size and e is a positive parameter arbitrarily close to zero. The numerical examples show that the NST algorithm produces better results compared to the other known methods. 展开更多
关键词 high-level synthesis OPTIMIZATION ALLOCATION NEIGHBOR state TRANSITION
下载PDF
A high-level synthesis based dual-module redundancy with multi-residue detection(DMR-MRD)fault-tolerant method for on-board processing satellite communication systems
3
作者 杨文慧 Chen Xiang +2 位作者 Wang Yu Zhao Ming Wang Jing 《High Technology Letters》 EI CAS 2014年第3期245-252,共8页
On board processing(OBP) satellite systems have obtained more and more attentions in recent years because of their high efficiency and performance.However,the OBP transponders are very sensitive to the high energy par... On board processing(OBP) satellite systems have obtained more and more attentions in recent years because of their high efficiency and performance.However,the OBP transponders are very sensitive to the high energy particles in the space radiation environments.Single event upset(SEU)is one of the major radiation effects,which influences the satellite reliability greatly.Triple modular redundancy(TMR) is a classic and efficient method to mask SEUs.However,TMR uses three identical modules and a comparison logic,the circuit size becomes unacceptable,especially in the resource limited environments such as OBP systems.Considering that,a new SEU-tolerant method based on residue code and high-level synthesis(HLS) is proposed,and the new method is applied to FIR filters,which are typical structures in the OBP systems.The simulation results show that,for an applicable HLS scheduling scheme,area reduction can be reduced by 48.26%compared to TMR,while fault missing rate is 0.15%. 展开更多
关键词 卫星通信系统 容错方法 三模冗余 多残留检测 模块 综合型 空间辐射环境 单粒子翻转
下载PDF
使用HLS开发FPGA异构加速系统:问题、优化方法和机遇
4
作者 徐诚 郭进阳 +3 位作者 李超 王靖 汪陶磊 赵杰茹 《计算机科学与探索》 CSCD 北大核心 2023年第8期1729-1748,共20页
目前,现场可编程门阵列(field programmable gate array,FPGA)由于可编程性与出色的能效比受到了学术界与工业界的青睐,但是传统的基于硬件描述语言的FPGA开发方式面临编程挑战。硬件描述语言区别于通常使用的高级语言,阻碍了软件开发者... 目前,现场可编程门阵列(field programmable gate array,FPGA)由于可编程性与出色的能效比受到了学术界与工业界的青睐,但是传统的基于硬件描述语言的FPGA开发方式面临编程挑战。硬件描述语言区别于通常使用的高级语言,阻碍了软件开发者对FPGA的利用。高层次综合(high-level synthesis,HLS)使得开发者可以从高级语言如C/C++层面直接进行FPGA硬件层面的开发,是解决这一问题的首选,受到了广泛的关注。近年来,学术界有许多关于HLS的工作,致力于解决HLS应用过程中的各类问题,并提升通过HLS开发的系统的性能。围绕使用HLS开发FPGA异构系统这一问题,以一种异构系统开发者的视角,列举了可行的优化方向。在编译优化层面,HLS工具可以通过插入编译指导与设计高效的空间探索算法,自动生成性能较高的RTL设计;在访存优化层面,HLS工具可以设立缓冲区,拆分并复制数据,以提升系统整体带宽;在并行优化层面,HLS工具可以实现语句级、任务级以及板卡级的并行。一些如DSL的技术虽然不能直接提升异构加速系统的性能,但是可以进一步提升HLS工具的可用性。最后,总结了当前HLS面临的一些挑战,并对HLS的未来研究方向进行了展望。 展开更多
关键词 现场可编程门阵列(FPGA) 高层次综合 异构系统 高级语言 编译优化
下载PDF
METHOD OF HIGH-LEVEL TECHNOLOGY MAPPING BASED ON KNOWLEDGE(RULE)
5
作者 Ma Cong Wang Zuojian Liu Mingye (ASIC research Center of Beijing Institute of Technology, Beijing 100081) 《Journal of Electronics(China)》 2001年第1期24-31,共8页
This paper studies the linkage problem between the result of high-level synthesis and back-end technology, presents a method of high-level technology mapping based on knowl edge, and studies deeply all of its importan... This paper studies the linkage problem between the result of high-level synthesis and back-end technology, presents a method of high-level technology mapping based on knowl edge, and studies deeply all of its important links such as knowledge representation, knowledge utility and knowledge acquisition. It includes: (1) present a kind of expanded production about knowledge of circuit structure; (2) present a VHDL-based method to acquire knowledge of tech nology mapping; (3) provide solution control strategy and algorithm of knowledge utility; (4)present a half-automatic maintenance method, which can find redundance and contradiction of knowledge base; (5) present a practical method to embed the algorithm into knowledge system to decrease complexity of knowledge base. A system has been developed and linked with three kinds of technologies, so verified the work of this paper. 展开更多
关键词 high-level synthesis TECHNOLOGY mapping VHDL high-level TECHNOLOGY map PING KNOWLEDGE base KNOWLEDGE representation
下载PDF
位宽感知的寄存器绑定算法
6
作者 高猛 赵家程 +1 位作者 崔慧敏 冯晓兵 《软件学报》 EI CSCD 北大核心 2024年第6期2631-2647,共17页
寄存器绑定是高层次综合中的一个基础优化问题,主要目标是在保证电路功能的同时最小化寄存器资源的使用.传统的方法尝试将编译器的寄存器分配算法应用于寄存器绑定中,但却忽略了分配问题与绑定问题的差异性,因此在绑定过程中引入了额外... 寄存器绑定是高层次综合中的一个基础优化问题,主要目标是在保证电路功能的同时最小化寄存器资源的使用.传统的方法尝试将编译器的寄存器分配算法应用于寄存器绑定中,但却忽略了分配问题与绑定问题的差异性,因此在绑定过程中引入了额外的资源约束,或采用了不适合电路设计的编译优化技巧,从而导致资源浪费.为解决这些问题,将寄存器绑定问题转化为连续多重着色问题,并提出一种基于位宽与顶点度结合的启发式求解方法.所提方法通过对变量的位宽和活跃区间等信息的细粒度刻画和建模,能够进一步优化寄存器资源的开销,同时无需插入额外的指令.将该算法与两种典型算法进行比较,实验结果表明,所提算法在MiBench测试集的96.72%的测试用例中达到理论最优解,比其他两种方法分别提高31.5%和25.1%;在Rosetta测试集的所有测试用例中均表现为最优解,比其他两种方法分别提高7.41%和7.39%. 展开更多
关键词 高层次综合 寄存器绑定 资源共享
下载PDF
基于HLS技术的Rijndael算法IP核实现与优化 被引量:1
7
作者 孙桂玲 纪永鑫 +1 位作者 张潺潺 李维祥 《微电子学与计算机》 CSCD 北大核心 2010年第4期205-208,212,共5页
为了降低传统设计模式在应对大规模SoC设计时带来高复杂度,使用高层次综合HLS技术进行了Rijndael算法IP核的设计、综合与仿真.针对Rijndael算法中的多种运算模块,研究并设计了面向硬件的编码方式及优化方案.通过对比,使用高层次综合技... 为了降低传统设计模式在应对大规模SoC设计时带来高复杂度,使用高层次综合HLS技术进行了Rijndael算法IP核的设计、综合与仿真.针对Rijndael算法中的多种运算模块,研究并设计了面向硬件的编码方式及优化方案.通过对比,使用高层次综合技术设计的IP核在各方面都接近或超越了使用传统方式设计的IP核,而设计复杂度大大降低,证明了使用HLS方法进行设计的优越性. 展开更多
关键词 高层次综合 Catapult synthesis RIJNDAEL SYSTEMC
下载PDF
基于Vivado HLS的FPGA开发与应用研究 被引量:30
8
作者 党宏社 王黎 王晓倩 《陕西科技大学学报(自然科学版)》 2015年第1期155-159,共5页
为在硬件中更快速地实现数字信号处理或图像处理算法,可使用Vivado HLS工具与Zynq系列的全可编程SoC进行FPGA的设计与开发.开发者能够借助它们直接使用C或C++语言进行FPGA的开发,相对于Verilog或VHDL设计而言,开发周期短、成本低。本文... 为在硬件中更快速地实现数字信号处理或图像处理算法,可使用Vivado HLS工具与Zynq系列的全可编程SoC进行FPGA的设计与开发.开发者能够借助它们直接使用C或C++语言进行FPGA的开发,相对于Verilog或VHDL设计而言,开发周期短、成本低。本文详细介绍了Vivado HLS工具的特点与应用等内容,并以"图像色调分离"和"循环编码器"两种不同类型的实例,描述了该工具的使用方法与设计技巧. 展开更多
关键词 高层次综合 VIVADO FPGA
下载PDF
基于FPGA的永磁同步电机速度控制
9
作者 于长松 刘曰涛 +2 位作者 姜佩岑 邹大林 祝保财 《组合机床与自动化加工技术》 北大核心 2024年第4期131-134,140,共5页
针对永磁同步电机(PMSM)速度控制器中采用传统PI控制存在响应速度慢、超调量大以及容易出现积分饱和等问题,设计了采取Anti-Windup策略的速度控制器,并在现场可编辑逻辑门阵列(FPGA)中实现对PMSM的控制。首先采用高层次综合技术(HLS)对P... 针对永磁同步电机(PMSM)速度控制器中采用传统PI控制存在响应速度慢、超调量大以及容易出现积分饱和等问题,设计了采取Anti-Windup策略的速度控制器,并在现场可编辑逻辑门阵列(FPGA)中实现对PMSM的控制。首先采用高层次综合技术(HLS)对PMSM伺服控制关键模块完成建模,其次封装成IP核导入到工程中,最后下载到FPGA芯片上完成对PMSM的控制。经过与传统PI控制器实验比较,使用该速度控制方法超调量减小到4.3%,在负载处转速下降了14r/min,调节时间为0.01s,具有良好的动态性能和抗干扰性能,满足永磁同步电机伺服控制系统的应用需求。 展开更多
关键词 现场可编辑逻辑门阵列 高层次综合技术 永磁同步电机 Anti-Windup策略
下载PDF
基于异构平台的卷积神经网络加速系统设计
10
作者 秦文强 吴仲城 +1 位作者 张俊 李芳 《计算机工程与科学》 CSCD 北大核心 2024年第1期12-20,共9页
在计算和存储资源受限的嵌入式设备上部署卷积神经网络,存在执行速度慢、计算效率低、功耗高的问题。提出了一种基于异构平台的新型卷积神经网络加速架构,设计并实现了基于MobileNet的轻量化卷积神经网络加速系统。首先,为降低硬件资源... 在计算和存储资源受限的嵌入式设备上部署卷积神经网络,存在执行速度慢、计算效率低、功耗高的问题。提出了一种基于异构平台的新型卷积神经网络加速架构,设计并实现了基于MobileNet的轻量化卷积神经网络加速系统。首先,为降低硬件资源消耗以及数据传输成本,采用动态定点数量化和批标准化融合的设计方法,对网络模型进行了优化,并降低了加速系统的硬件设计复杂度;其次,通过实现卷积分块、并行卷积计算、数据流优化,有效提高了卷积运算效率和系统吞吐率。在PYNQ-Z2平台上的实验结果表明,此加速系统实现的MobileNet网络推理加速方案对单幅图像的识别时间为0.18 s,系统功耗为2.62 W,相较于ARM单核处理器加速效果提升了128倍。 展开更多
关键词 现场可编程门阵列(FPGA) Vivado高层次综合 卷积神经网络 异构平台 硬件加速
下载PDF
基于Xilinx Vivado HLS的小型无人机平衡仪设计 被引量:6
11
作者 张展 崔晋伟 陆炯 《电子科技》 2015年第7期172-174,178,共4页
针对FPGA开发难度大,流程繁琐的问题,提出了一种基于FPGA的飞行控制系统快速开发方法。该方法引进Xilinx Vivado HLS开发工具,首先在ARM平台上设计飞行控制系统,后将代码快速移植到FPGA平台,实现一个完整的四旋翼平衡仪系统。实践验证表... 针对FPGA开发难度大,流程繁琐的问题,提出了一种基于FPGA的飞行控制系统快速开发方法。该方法引进Xilinx Vivado HLS开发工具,首先在ARM平台上设计飞行控制系统,后将代码快速移植到FPGA平台,实现一个完整的四旋翼平衡仪系统。实践验证表明,文中所提方法与传统方法相比,流程更为简便,且易于实现。 展开更多
关键词 FPGA 平衡仪 高级综合工具
下载PDF
基于ZYNQ的Stewart并联机器人运动学算法实现
12
作者 边宗政 刘曰涛 +1 位作者 于长松 姜佩岑 《传感器与微系统》 CSCD 北大核心 2024年第7期141-144,共4页
针对实时的控制和监控,而导致Stewart机器人实时性不高的问题,提出了基于ZYNQ芯片的软硬件协同计算Stewart并联机器人运动学解的方案。ZYNQ芯片集成了PL(FPGA)和PS(ARM)两种不同的嵌入式芯片,PL(FPGA)作为PS(ARM)的协处理器进行运动学... 针对实时的控制和监控,而导致Stewart机器人实时性不高的问题,提出了基于ZYNQ芯片的软硬件协同计算Stewart并联机器人运动学解的方案。ZYNQ芯片集成了PL(FPGA)和PS(ARM)两种不同的嵌入式芯片,PL(FPGA)作为PS(ARM)的协处理器进行运动学算法解算,PS(ARM)则运行实时系统,对Stewart机器人进行实时的控制。实验证明:硬件计算Stewart并联机器人运动学解与理论计算结果误差在合理范围内,且解算时间满足实时系统控制周期小于2ms的要求。 展开更多
关键词 STEWART并联机器人 运动学 ZYNQ芯片 高层次综合 LINUX系统
下载PDF
用Vivado HLS实现粒子滤波算法的硬件加速 被引量:2
13
作者 高国栋 林明 《江苏科技大学学报(自然科学版)》 CAS 2018年第2期245-251,共7页
针对传统现场可编程门阵列(field-programmable gate array,FPGA)开发流程中,以硬件实现粒子滤波算法(particle filter,PF)的开发周期长、过程繁琐和灵活性差等缺陷,提出了一种基于Vivado高层次综合(high level synthesis,HLS)工具的PF... 针对传统现场可编程门阵列(field-programmable gate array,FPGA)开发流程中,以硬件实现粒子滤波算法(particle filter,PF)的开发周期长、过程繁琐和灵活性差等缺陷,提出了一种基于Vivado高层次综合(high level synthesis,HLS)工具的PF算法硬件实现新方法,直接高效地将C语言描述的PF算法综合为RTL硬件模块.文中以二维纯方位跟踪(2 dimensional bearing-only tracking,2-D BOT)为应用背景,以高斯粒子滤波(Gaussian particle filter,GPF)为目标算法,根据其数据结构,给出相应的并行流水线策略,综合出具有高并行度的FPGA运算模块.C/RTL协同仿真表明:该运算模块能较好实现对动目标的跟踪,并且能达到相当于简化粒子滤波器1.5倍的运算速度;同时该实现方法对其他复杂软件算法的硬件化加速具有指导意义. 展开更多
关键词 高层次综合 FPGA 粒子滤波 纯方位跟踪
下载PDF
基于HLS的矩阵求逆算法设计优化 被引量:2
14
作者 凌元 韩文俊 孙健 《电子技术与软件工程》 2021年第22期93-96,共4页
本文主要研究了HLS多层动态边界循环的优化策略。HLS利用C/C++语言完成算法设计和验证,通过高级综合工具自动生成RTL代码,显著缩短了算法FPGA设计复杂度及实现效率,在信号处理算法实现方面有着显著的优势。但对于具有多层动态循环边界... 本文主要研究了HLS多层动态边界循环的优化策略。HLS利用C/C++语言完成算法设计和验证,通过高级综合工具自动生成RTL代码,显著缩短了算法FPGA设计复杂度及实现效率,在信号处理算法实现方面有着显著的优势。但对于具有多层动态循环边界的算法,由于各层循环的数据依赖性及循环边界的不可预知性,HLS难以实现理想的结果。本文以Cholesky分解矩阵求逆算法为例,通过对矩阵求逆计算过程数据计算顺序、数据依赖性、运算步骤进行了分析与理论计算,提出了一种将多层循环优化为单层、两层循环的方法,解决了流水线优化指令高效应用问题。实现结果表明,经过优化后,在资源增加较少的情况下,矩阵求逆延迟性能提升118倍。 展开更多
关键词 hls(high level synthesis) FPGA 矩阵求逆 Cholesky PIPELINE
下载PDF
基于Vivado HLS的FFTIP核设计与实现 被引量:5
15
作者 张俊涛 付芳琪 曹梦娜 《电子器件》 CAS 北大核心 2016年第2期374-378,共5页
研究基于Xilinx高层次综合工具HLS设计FFT IP核的新方法,并在Zynq平台上搭建音频频谱显示系统用于对设计的FFT IP核进行测试。首先用MATLAB生成1 024点FFT算法所需要的旋转因子,然后用C语言编写FFT算法程序后经HLS综合成IP核并进行了两... 研究基于Xilinx高层次综合工具HLS设计FFT IP核的新方法,并在Zynq平台上搭建音频频谱显示系统用于对设计的FFT IP核进行测试。首先用MATLAB生成1 024点FFT算法所需要的旋转因子,然后用C语言编写FFT算法程序后经HLS综合成IP核并进行了两次优化,与优化前相比延迟时间节省了19%到40%,LUT资源节省18.5%。测试结果表明,所设计的FFT IP能够成功地实现音频信号的频谱分析。 展开更多
关键词 高层次综合 FFT IP核 设计 频谱 测试
下载PDF
二叔丁基二环己基-18-冠-6的合成及其对锶的萃取
16
作者 王婧 曹世权 +2 位作者 朱杉 颜玉芳 晏敏皓 《核化学与放射化学》 CAS CSCD 北大核心 2024年第2期158-169,I0006,共13页
^(90)Sr(T_(1/2)=28.9 a)是一种具有高释热性和强放射性的高毒性核素,高放废液在进行处置之前必须准确测量放射性废液中^(90)Sr的含量,而将^(90)Sr从放射性废液中分离出来是其准确测量的关键。本工作通过改变合成反应路径和优化合成反... ^(90)Sr(T_(1/2)=28.9 a)是一种具有高释热性和强放射性的高毒性核素,高放废液在进行处置之前必须准确测量放射性废液中^(90)Sr的含量,而将^(90)Sr从放射性废液中分离出来是其准确测量的关键。本工作通过改变合成反应路径和优化合成反应参数,解决了二叔丁基二环己基-18-冠-6(DtBuCH18C6)合成反应存在的加氢危险、纯化困难的问题,实现了高纯度产品的合成;而后采用溶剂萃取法,以DtBuCH18C6为萃取剂,分别研究稀释剂种类、萃取剂浓度、硝酸浓度、相比等条件对Sr^(2+)萃取性能的影响,以此来确定Sr^(2+)选择性分离的最佳萃取条件,建立一种有效的快速分离Sr^(2+)的方法,为后续提取^(90)Sr提供了一种可供选择的材料。 展开更多
关键词 高放废液 DtBuCH18C6 合成 萃取 ^(90)Sr
下载PDF
零知识证明中椭圆曲线运算的硬件加速方法研究
17
作者 丁冬 李正权 《中国计量大学学报》 2024年第2期185-196,共12页
目的:针对零知识证明协议纯软件部署存在的低时延、低功耗服务要求难以满足,以及硬件加速芯片协议适配性差和开发周期长的问题,提出了一种用于零知识证明的椭圆曲线点加计算流式计算架构。方法:实现了点加计算的硬件设计,对高位模运算... 目的:针对零知识证明协议纯软件部署存在的低时延、低功耗服务要求难以满足,以及硬件加速芯片协议适配性差和开发周期长的问题,提出了一种用于零知识证明的椭圆曲线点加计算流式计算架构。方法:实现了点加计算的硬件设计,对高位模运算设计了低时延、可扩展的硬件计算单元,在点加计算的各个计算阶段间规划数据流实现了流水设计,使用OpenCL与HLS,在基于FPGA的异构计算平台上,对不同规模的点乘、多标量乘法计算任务进行了软硬件协同加速。结果:在AMD Xilinx Alevo U50数据中心加速卡上,多标量乘法运算相比于AMD Ryzen 95900X(3.7 GHz)CPU单核及12核运行分别获得了41.5倍及3倍的加速比,硬件加速模块相比于纯软件方式获得了最高12.42倍的能效提升。结论:该计算架构有效提高了硬件资源利用率,降低了椭圆曲线运算时延以及功耗开销。 展开更多
关键词 现场可编程门阵列 椭圆曲线 零知识证明 高层次综合 异构计算
下载PDF
基于HLS的实时图像去雾实现 被引量:7
18
作者 齐乐 张小刚 姚航 《计算机工程》 CAS CSCD 北大核心 2016年第5期224-229,共6页
户外图像或视频受到大气中烟雾的影响,存在模糊不清及颜色偏移等问题,在很大程度上影响户外视频系统正常稳定工作。现有的去雾算法计算复杂度较高,仅依靠软件对视频级进行去雾有一定难度。针对这一现状,分析暗原色先验去雾算法的计算瓶... 户外图像或视频受到大气中烟雾的影响,存在模糊不清及颜色偏移等问题,在很大程度上影响户外视频系统正常稳定工作。现有的去雾算法计算复杂度较高,仅依靠软件对视频级进行去雾有一定难度。针对这一现状,分析暗原色先验去雾算法的计算瓶颈,利用高级层次综合(HLS)工具实现去雾算法的硬件化,使用流水线技术将去雾算法运行在现场可编程门阵列上。实验结果表明,在保证去雾质量的前提下,对于1080P的实时场景,可以达到每秒45帧以上的处理速度,基本满足高清视频去雾的需求。 展开更多
关键词 暗通道 去雾 视频 现场可编程门阵列 实时 高级层次综合工具
下载PDF
基于HLS的SAR回波模拟硬件加速设计 被引量:2
19
作者 韩思齐 韩力 +1 位作者 孙林 吴琼之 《电子设计工程》 2018年第11期158-164,共7页
针对合成孔径雷达(SAR)回波模拟的实时性需求,提出了一种基于高层次综合(HLS)的回波模拟硬件加速系统。实时性是衡量回波模拟系统性能的重要指标,随着成像区域复杂度、成像质量要求等不断提高,回波模拟的计算复杂度急剧增加,模拟过程耗... 针对合成孔径雷达(SAR)回波模拟的实时性需求,提出了一种基于高层次综合(HLS)的回波模拟硬件加速系统。实时性是衡量回波模拟系统性能的重要指标,随着成像区域复杂度、成像质量要求等不断提高,回波模拟的计算复杂度急剧增加,模拟过程耗时巨大。将FPGA应用于SAR回波生成硬件加速,并引入高层次综合方法,解决了传统硬件开发的算法转换繁琐、不支持浮点运算等关键问题,经过验证能达到较高的性能与精度,保证了回波模拟的实时性,具有较高的应用价值。 展开更多
关键词 高层次综合(hls) 回波模拟 硬件加速 可编程逻辑门阵列(FPGA)
下载PDF
基于Vivado HLS的Down Scaler视频系统设计 被引量:2
20
作者 安航 《单片机与嵌入式系统应用》 2016年第11期21-23,共3页
介绍一种基于FPGA的Down Scaler视频系统设计。系统的核心部件采用Xilinx Kintex-7的板载XC7K325T芯片,系统设计使用Vivado工具,包括使用Vivado HLS进行Down Scaler模块设计。首先按照Vivado HLS的代码规范进行Down Scaler模块的C/C++... 介绍一种基于FPGA的Down Scaler视频系统设计。系统的核心部件采用Xilinx Kintex-7的板载XC7K325T芯片,系统设计使用Vivado工具,包括使用Vivado HLS进行Down Scaler模块设计。首先按照Vivado HLS的代码规范进行Down Scaler模块的C/C++代码编写,然后利用编译工具生成RTL级代码和综合结果 Down Scaler IP核,最后将Down Scaler IP核与TPG、VDMA等Xilinx视频IP核互连,构建实时视频系统。在满足实时性要求和FPGA资源消耗要求的条件下,该设计实现了对Down Scaler视频算法从PC端软件处理方式向FPGA平台硬件处理方式的移植。 展开更多
关键词 VIVADO hls FPGA DOWN SCALER 高层次综合
下载PDF
上一页 1 2 13 下一页 到第
使用帮助 返回顶部