期刊文献+
共找到291篇文章
< 1 2 15 >
每页显示 20 50 100
Current Progress of Hf(Zr)-Based High-k Gate Dielectric Thin Films 被引量:1
1
作者 Gang HE Lide ZHANG 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2007年第4期433-448,共16页
With the continued downscaling of complementary metal-oxide-semiconductor field effect transistor dimensions, high-dielectric constant (high-k) gate materials, as alternatives to SiO2, have been extensively investig... With the continued downscaling of complementary metal-oxide-semiconductor field effect transistor dimensions, high-dielectric constant (high-k) gate materials, as alternatives to SiO2, have been extensively investigated. Hf (Zr)-based high-k gate dielectric thin films have been regarded as the most promising candidates for high-k gate dielectric according to the International Technology Roadmap for Semiconductor due to their excellent physical properties and performance. This paper reviews the recent progress on Hf (Zr)-based high-k gate dielectrics based on PVD (physical vapor deposition) process. This article begins with a survey of various methods developed for generating Hf (Zr)-based high-k gate dielectrics, and then mainly focuses on microstructure, synthesis, characterization, formation mechanisms of interfacial layer, and optical properties of Hf (Zr)-based high-k gate dielectrics. Finally, this review concludes with personal perspectives towards future research on Hf (Zr)-based high-k gate dielectrics. 展开更多
关键词 Hf (Zr)-based high-k gate dielectric PVD Optical properties metal-oxide-semiconductor
下载PDF
The influence and explanation of fringing-induced barrier lowering on sub-100 nm MOSFETs with high-k gate dielectrics
2
作者 马飞 刘红侠 +1 位作者 匡潜玮 樊继斌 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第5期602-606,共5页
The fringing-induced barrier lowering(FIBL) effect of sub-100 nm MOSFETs with high-k gate dielectrics is investigated using a two-dimensional device simulator.An equivalent capacitance theory is proposed to explain ... The fringing-induced barrier lowering(FIBL) effect of sub-100 nm MOSFETs with high-k gate dielectrics is investigated using a two-dimensional device simulator.An equivalent capacitance theory is proposed to explain the physics mechanism of the FIBL effect.The FIBL effect is enhanced and the short channel performance is degraded with increasing capacitance.Based on equivalent capacitance theory,the influences of channel length,junction depth,gate/lightly doped drain(LDD) overlap length,spacer material and spacer width on FIBL is thoroughly investigated.A stack gate dielectric is presented to suppress the FIBL effect. 展开更多
关键词 high-k gate dielectric fringing-induced barrier lowering stack gate dielectric MOSFET
下载PDF
A threshold voltage analytical model for high-k gate dielectric MOSFETs with fully overlapped lightly doped drain structures
3
作者 马飞 刘红侠 +1 位作者 匡潜玮 樊继斌 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第5期596-601,共6页
We investigate the influence of voltage drop across the lightly doped drain(LDD) region and the built-in potential on MOSFETs,and develop a threshold voltage model for high-k gate dielectric MOSFETs with fully overl... We investigate the influence of voltage drop across the lightly doped drain(LDD) region and the built-in potential on MOSFETs,and develop a threshold voltage model for high-k gate dielectric MOSFETs with fully overlapped LDD structures by solving the two-dimensional Poisson's equation in the silicon and gate dielectric layers.The model can predict the fringing-induced barrier lowering effect and the short channel effect.It is also valid for non-LDD MOSFETs.Based on this model,the relationship between threshold voltage roll-off and three parameters,channel length,drain voltage and gate dielectric permittivity,is investigated.Compared with the non-LDD MOSFET,the LDD MOSFET depends slightly on channel length,drain voltage,and gate dielectric permittivity.The model is verified at the end of the paper. 展开更多
关键词 threshold voltage high-k gate dielectric fringing-induced barrier lowering short channeleffect
下载PDF
High-k gate dielectric GaAs MOS device with LaON as interlayer and NH_3-plasma surface pretreatment 被引量:1
4
作者 刘超文 徐静平 +1 位作者 刘璐 卢汉汉 《Chinese Physics B》 SCIE EI CAS CSCD 2015年第12期494-498,共5页
High-k gate dielectric Hf Ti ON Ga As metal-oxide–semiconductor(MOS) capacitors with La ON as interfacial passivation layer(IPL) and NH3- or N2-plasma surface pretreatment are fabricated, and their interfacial an... High-k gate dielectric Hf Ti ON Ga As metal-oxide–semiconductor(MOS) capacitors with La ON as interfacial passivation layer(IPL) and NH3- or N2-plasma surface pretreatment are fabricated, and their interfacial and electrical properties are investigated and compared with their counterparts that have neither La ON IPL nor surface treatment. It is found that good interface quality and excellent electrical properties can be achieved for a NH3-plasma pretreated Ga As MOS device with a stacked gate dielectric of Hf Ti ON/La ON. These improvements should be ascribed to the fact that the NH3-plasma can provide H atoms and NH radicals that can effectively remove defective Ga/As oxides. In addition, La ON IPL can further block oxygen atoms from being in-diffused, and Ga and As atoms from being out-diffused from the substrate to the high-k dielectric. This greatly suppresses the formation of Ga/As native oxides and gives rise to an excellent high-k/Ga As interface. 展开更多
关键词 Ga As MOS La ON interlayer NH3-plasma treatment stacked gate dielectric
下载PDF
Improved performance of back-gate MoS2 transistors by NH3-plasma treating high-k gate dielectrics
5
作者 陈建颖 赵心愿 +1 位作者 刘璐 徐静平 《Chinese Physics B》 SCIE EI CAS CSCD 2019年第12期338-344,共7页
NH3-plasma treatment is used to improve the quality of the gate dielectric and interface. Al2O3 is adopted as a buffer layer between HfO2 and MoS2 to decrease the interface-state density. Four groups of MOS capacitors... NH3-plasma treatment is used to improve the quality of the gate dielectric and interface. Al2O3 is adopted as a buffer layer between HfO2 and MoS2 to decrease the interface-state density. Four groups of MOS capacitors and back-gate transistors with different gate dielectrics are fabricated and their C–V and I–V characteristics are compared. It is found that the Al2O3/HfO2 back-gate transistor with NH3-plasma treatment shows the best electrical performance: high on–off current ratio of 1.53 × 107, higher field-effect mobility of 26.51 cm2/V·s, and lower subthreshold swing of 145 m V/dec.These are attributed to the improvements of the gate dielectric and interface qualities by the NH3-plasma treatment and the addition of Al2O3 as a buffer layer. 展开更多
关键词 MoS2 transistor high-k dielectric NH3-plasma treatment oxygen vacancy mobility
下载PDF
Simulation of electrical characteristics and structural optimization for small-scaled dual-gate GeOI MOSFET with high-k gate dielectric 被引量:2
6
作者 白玉蓉 徐静平 +1 位作者 刘璐 范敏敏 《Journal of Semiconductors》 EI CAS CSCD 2014年第9期39-44,共6页
The influences of the main structure and physical parameters of the dual-gate GeOl MOSFET on the device performance are investigated by using a TCAD 2D device simulator. A reasonable value range of germanium (Ge) ch... The influences of the main structure and physical parameters of the dual-gate GeOl MOSFET on the device performance are investigated by using a TCAD 2D device simulator. A reasonable value range of germanium (Ge) channel thickness, doping concentration, gate oxide thickness and permittivity is determined by analyzing the on-state current, off-state current, short channel effect (SCE) and drain-induced barrier lowering (DIBL) effect of the GeOI MOSFET. When the channel thickness and its doping concentration are 10-18 nm and (5-9)×1017 cm-3, and the equivalent oxide thickness and permittivity of the gate dielectric are 0.8-1 nm and 15-30, respectively, excellent device performances of the small-scaled GeOI MOSFET can be achieved: on-state current of larger than 1475 μA/μm, off-state current of smaller than 0.1μA/μm, SCE-induced threshold-voltage drift of lower than 60 mV and DIBL-induced threshold-voltage drift of lower than 140 mV. 展开更多
关键词 GeOI MOSFET high-k gate dielectric short-channel effect drain-induced barrier lowering effect
原文传递
Challenges in Atomic-Scale Characterization of High-k Dielectrics and Metal Gate Electrodes for Advanced CMOS Gate Stacks 被引量:1
7
作者 Xinhua Zhu Jian-min Zhu Aidong Li Zhiguo Liu Naiben Ming 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2009年第3期289-313,共25页
The decreasing feature sizes in complementary metal-oxide semiconductor (CMOS) transistor technology will require the replacement of SiO2 with gate dielectrics that have a high dielectric constant (high-k) because... The decreasing feature sizes in complementary metal-oxide semiconductor (CMOS) transistor technology will require the replacement of SiO2 with gate dielectrics that have a high dielectric constant (high-k) because as the SiO2 gate thickness is reduced below 1.4 nm, electron tunnelling effects and high leakage currents occur in SiO2, which present serious obstacles to future device reliability. In recent years significant progress has been made on the screening and selection of high-k gate dielectrics, understanding their physical properties, and their integration into CMOS technology. Now the family of hafnium oxide-based materials has emerged as the leading candidate for high-k gate dielectrics due to their excellent physical properties. It is also realized that the high-k oxides must be implemented in conjunction with metal gate electrodes to get sufficient potential for CMOS continue scaling. In the advanced nanoscale Si-based CMOS devices, the composition and thickness of interfacial layers in the gate stacks determine the critical performance of devices. Therefore, detailed atomic- scale understandings of the microstructures and interfacial structures built in the advanced CMOS gate stacks, are highly required. In this paper, several high-resolution electron, ion, and photon-based techniques currently used to characterize the high-k gate dielectrics and interfaces at atomic-scale, are reviewed. Particularly, we critically review the research progress on the characterization of interface behavior and structural evolution in the high-k gate dielectrics by high-resolution transmission electron microscopy (HRTEM) and the related techniques based on scanning transmission electron microscopy (STEM), including high-angle annular dark- field (HAADF) imaging (also known as Z-contrast imaging), electron energy-loss spectroscopy (EELS), and energy dispersive X-ray spectroscopy (EDS), due to that HRTEM and STEM have become essential metrology tools for characterizing the dielectric gate stacks in the present and future generations of CMOS devices. In Section 1 of this review, the working principles of each technique are briefly introduced and their key features are outlined. In Section 2, microstructural characterizations of high-k gate dielectrics at atomic-scale by electron microscopy are critically reviewed by citing some recent results reported on high-k gate dielectrics. In Section 3, metal gate electrodes and the interfacial structures between high-k dielectrics and metal gates are discussed. The electron beam damage effects in high-k gate stacks are also evaluated, and their origins and prevention are described in Section 4. Finally, we end this review with personal perspectives towards the future challenges of atomic-scale material characterization in advanced CMOS gate stacks. 展开更多
关键词 high-k gate dielectrics Metal gate electrodes CMOS gate stack HRTEM STEM
下载PDF
A threshold-voltage model for small-scaled GaAs nMOSFET with stacked high-k gate dielectric
8
作者 刘超文 徐静平 +2 位作者 刘璐 卢汉汉 黄苑 《Journal of Semiconductors》 EI CAS CSCD 2016年第2期71-76,共6页
A threshold-voltage model for a stacked high-k gate dielectric GaAs MOSFET is established by solving a two-dimensional Poisson's equation in channel and considering the short-channel, DIBL and quantum effects. The si... A threshold-voltage model for a stacked high-k gate dielectric GaAs MOSFET is established by solving a two-dimensional Poisson's equation in channel and considering the short-channel, DIBL and quantum effects. The simulated results are in good agreement with the Silvaco TCAD data, confirming the correctness and validity of the model. Using the model, impacts of structural and physical parameters of the stack high-k gate dielectric on the threshold-voltage shift and the temperature characteristics of the threshold voltage are investigated. The results show that the stacked gate dielectric structure can effectively suppress the fringing-field and DIBL effects and improve the threshold and temperature characteristics, and on the other hand, the influence of temperature on the threshold voltage is overestimated if the quantum effect is ignored. 展开更多
关键词 GaAs MOSFET threshold voltage stack high-k gate dielectric quantum effect
原文传递
Effect of interface-roughness scattering on mobility degradation in SiGe p-MOSFETs with a high-k dielectric/SiO2 gate stack* 被引量:1
9
作者 张雪锋 徐静平 +2 位作者 黎沛涛 李春霞 官建国 《Chinese Physics B》 SCIE EI CAS CSCD 2007年第12期3820-3826,共7页
A physical model for mobility degradation by interface-roughness scattering and Coulomb scattering is proposed for SiGe p-MOSFET with a high-k dielectric/SiO2 gate stack. Impacts of the two kinds of scatterings on mob... A physical model for mobility degradation by interface-roughness scattering and Coulomb scattering is proposed for SiGe p-MOSFET with a high-k dielectric/SiO2 gate stack. Impacts of the two kinds of scatterings on mobility degradation are investigated. Effects of interlayer (SiO2) thickness and permittivities of the high-k dielectric and interlayer on carrier mobility are also discussed. It is shown that a smooth interface between high-k dielectric and interlayer, as well as moderate permittivities of high-k dielectrics, is highly desired to improve carriers mobility while keeping alow equivalent oxide thickness. Simulated results agree reasonably with experimental data. 展开更多
关键词 MOSFET high-k dielectric SIGE interface roughness scattering Coulomb scattering
下载PDF
Performance analysis of silicon nanowire transistors considering effective oxide thickness of high-k gate dielectric 被引量:1
10
作者 S.Theodore Chandra N.B.Balamurugan 《Journal of Semiconductors》 EI CAS CSCD 2014年第4期48-51,共4页
We have analyzed the effective oxide thickness (EOT) of the dielectric material for which we have optimum performance and the output characteristics of the silicon nanowire transistors by replacing the traditional S... We have analyzed the effective oxide thickness (EOT) of the dielectric material for which we have optimum performance and the output characteristics of the silicon nanowire transistors by replacing the traditional Si02 gate insulator with a material that has a much higher dielectric constant (high-k) gate, materials like Si3N4, Al2O3, Y2O3 and HfO2. We have also analyzed the channel conductance, the effect of a change in thickness, the average velocity of the charge carrier and the conductance efficiency in order to study the performance of silicon nanowire transistors in the nanometer region. The analysis was performed using the Fettoy, a numerical simulator for ballistic nanowire transistors using a simple top of the barrier (Natori) approach, which is composed of several matlab scripts. Our results show that hafnium oxide (HfO2) gate insulator material provides good thermal stability, a high recrystallization temperature and better interface qualities when compared with other gate insulator materials; also the effective oxide thickness of lifO2 is found to be 0.4 nm. 展开更多
关键词 high-k dielectric hafnium oxide silicon nanowire transistor effective oxide thickness
原文传递
Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process
11
作者 王艳蓉 杨红 +10 位作者 徐昊 王晓磊 罗维春 祁路伟 张淑祥 王文武 闫江 朱慧珑 赵超 陈大鹏 叶甜春 《Chinese Physics B》 SCIE EI CAS CSCD 2015年第11期464-467,共4页
A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce the gate leakage and improve the device's performance. ... A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce the gate leakage and improve the device's performance. In this paper, we systematically investigate the electrical parameters and the time-dependent dielectric breakdown (TDDB) characteristics of positive channel metal oxide semiconductor (PMOS) under different MDMA process conditions, including the depo- sition/annealing (D&A) cycles, the D&A time, and the total annealing time. The results show that the increases of the number of D&A cycles (from 1 to 2) and D&A time (from 15 s to 30 s) can contribute to the results that the gate leakage current decreases by about one order of magnitude and that the time to fail (TTF) at 63.2% increases by about several times. However, too many D&A cycles (such as 4 cycles) make the equivalent oxide thickness (EOT) increase by about 1A and the TTF of PMOS worsen. Moreover, different D&A times and numbers of D&A cycles induce different breakdown mechanisms. 展开更多
关键词 high-k/metal gate time dependent dielectric breakdown multi-deposition multi-annealing
下载PDF
Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-k metal gate NMOSFET with kMC TDDB simulations
12
作者 徐昊 杨红 +11 位作者 罗维春 徐烨峰 王艳蓉 唐波 王文武 祁路伟 李俊峰 闫江 朱慧珑 赵超 陈大鹏 叶甜春 《Chinese Physics B》 SCIE EI CAS CSCD 2016年第8期347-351,共5页
The thickness effect of the TiN capping layer on the time dependent dielectric breakdown(TDDB) characteristic of ultra-thin EOT high-k metal gate NMOSFET is investigated in this paper.Based on experimental results,i... The thickness effect of the TiN capping layer on the time dependent dielectric breakdown(TDDB) characteristic of ultra-thin EOT high-k metal gate NMOSFET is investigated in this paper.Based on experimental results,it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer.From the charge pumping measurement and secondary ion mass spectroscopy(SIMS) analysis,it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density.In addition,the influences of interface and bulk trap density ratio Nit/Not are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo(kMC) method.The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. 展开更多
关键词 high-k metal gate TiN capping layer TDDB interface trap density
下载PDF
Characteristics of high-quality HfSiON gate dielectric prepared by physical vapour deposition 被引量:2
13
作者 许高博 徐秋霞 《Chinese Physics B》 SCIE EI CAS CSCD 2009年第2期768-772,共5页
This paper presents a method using simple physical vapour deposition to form high-quality hafnium silicon oxynitride (HfSiON) on ultrathin SiO2 buffer layer. The gate dielectric with 10A (1A = 0.1 nm) equivalent o... This paper presents a method using simple physical vapour deposition to form high-quality hafnium silicon oxynitride (HfSiON) on ultrathin SiO2 buffer layer. The gate dielectric with 10A (1A = 0.1 nm) equivalent oxide thickness is obtained. The experimental results indicate that the prepared HfSiON gate dielectric exhibits good physical and electrical characteristics, including very good thermal stability up to 1000℃, excellent interface properties, high dielectric constant (k = 14) and low gate-leakage current (Ig = 1.9 × 10^-3 A/cm^2@Vg = Vfb - 1 V for EOT of 10 A). TaN metal gate electrode is integrated with the HfSiON gate dielectric.The effective work function of TaN on HfSiON is 4.3 eV, meeting the requirements of NMOS for the metal gate. And, the impacts of sputtering ambient and annealing temperature on the electrical properties of HfSiON gate dielectric are investigated. 展开更多
关键词 HFSION high-k gate dielectric SPUTTERING leakage current
下载PDF
Electrical properties and reliability of HfO2 gate-dielectric MOS capacitors with trichloroethylene surface pretreatment 被引量:1
14
作者 徐静平 陈卫兵 +2 位作者 黎沛涛 李艳萍 陈铸略 《Chinese Physics B》 SCIE EI CAS CSCD 2007年第2期529-532,共4页
Trichloroethylene (TCE) pretreatment of Si surface prior to HfO2 deposition is employed to fabricate HfO2 gatedielectric MOS capacitors. Influence of this processing procedure on interlayer growth, HfO2/Si interface... Trichloroethylene (TCE) pretreatment of Si surface prior to HfO2 deposition is employed to fabricate HfO2 gatedielectric MOS capacitors. Influence of this processing procedure on interlayer growth, HfO2/Si interface properties, gate-oxide leakage and device reliability is investigated. Among the surface pretreatments in NH3, NO, N2O and TCE ambients, the TCE pretreatment gives the least interlayer growths the lowest interface-state density, the smallest gate leakage and the highest reliability. All these improvements should be ascribed to the passivation effects of Cl2 and HC1 on the structural defects in the interlayer and at the interface, and also their gettering effects on the ion contamination in the gate dielectric. 展开更多
关键词 MOS capacitors high-k gate dielectric HFO2 INTERLAYER surface treatment
下载PDF
Electrical Characteristics of MOS Capacitors with HfTiON as Gate Dielectric
15
作者 徐静平 《Journal of Wuhan University of Technology(Materials Science)》 SCIE EI CAS 2009年第1期57-60,共4页
HfTiN film was deposited by co-reactive sputtering and then was annealed in dif-ferent gas ambients at temperature of 650 ℃ for 2 min to form HfTiON film. Capacitance-voltage and gate-leakage characteristics were inv... HfTiN film was deposited by co-reactive sputtering and then was annealed in dif-ferent gas ambients at temperature of 650 ℃ for 2 min to form HfTiON film. Capacitance-voltage and gate-leakage characteristics were investigated. The N2O-annealed sample exhibited small inter-face-state and oxide-charge densities, and enhanced reliability, which was attributed to the fact that nitridation could create strong Si≡N bonds to passivate dangling Si bonds and replaced strained Si-O bonds, thus forming a hardened dielectric/Si interface with high reliability. As a result, it is possible to prepare high-quality HfTiON gate dielectric of small-scaling CMOS devices in the industry-preferred N2O environment. 展开更多
关键词 high-k gate dielectric HfTiON co-reactive sputter gate-leakage current
下载PDF
Analytical model including the fringing-induced barrier lowering effect for a dual-material surrounding-gate MOSFET with a high-κ gate dielectric
16
作者 李聪 庄奕琪 +1 位作者 张丽 包军林 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第4期605-611,共7页
By solving Poisson's equation in both semiconductor and gate insulator regions in the cylindrical coordinates, an analytical model for a dual-material surrounding-gate (DMSG) metal-oxide semiconductor field-effect ... By solving Poisson's equation in both semiconductor and gate insulator regions in the cylindrical coordinates, an analytical model for a dual-material surrounding-gate (DMSG) metal-oxide semiconductor field-effect transistor (MOSFET) with a high-k gate dielectric has been developed. Using the derived model, the influences of fringing-induced barrier lowering (FIBL) on surface potential, subthreshold current, DIBL, and subthreshold swing are investigated. It is found that for the same equivalent oxide thickness, the gate insulator with high-k dielectric degrades the short-channel performance of the DMSG MOSFET. The accuracy of the analytical model is verified by the good agreement of its results with that obtained from the ISE three-dimensional numerical device simulator. 展开更多
关键词 high-k gate dielectric fringing-induced barrier lowering analytical model
下载PDF
Investigation of degradation and recovery characteristics of NBTI in 28-nm high-k metal gate process
17
作者 巩伟泰 李闫 +2 位作者 孙亚宾 石艳玲 李小进 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第12期628-635,共8页
Degradation induced by the negative bias temperature instability(NBTI)can be attributed to three mutually uncoupled physical mechanisms,i.e.,the generation of interface traps(ΔV_(IT)),hole trapping in pre-existing ga... Degradation induced by the negative bias temperature instability(NBTI)can be attributed to three mutually uncoupled physical mechanisms,i.e.,the generation of interface traps(ΔV_(IT)),hole trapping in pre-existing gate oxide defects(ΔV_(HT)),and the generation of gate oxide defects(ΔV_(OT)).In this work,the characteristic of NBTI for p-type MOSFET fabricated by using a 28-nm high-k metal gate(HKMG)process is thoroughly studied.The experimental results show that the degradation is enhanced at a larger stress bias and higher temperature.The effects of the three underlying subcomponents are evaluated by using the comprehensive models.It is found that the generation of interface traps dominates the NBTI degradation during long-time NBTI stress.Moreover,the NBTI parameters of the power-law time exponent and temperature activation energy as well as the gate oxide field acceleration are extracted.The dependence of operating lifetime on stress bias and temperature is also discussed.It is observed that NBTI lifetime significantly decreases as the stress increases.Furthermore,the decrease of charges related to interface traps and hole detrapping in pre-existing gate oxide defects are used to explain the recovery mechanism after stress. 展开更多
关键词 negative bias temperature instability(NBTI) high-k metal gate(HKMG) threshold voltage shift interface trap gate oxide defect
下载PDF
Numerical and analytical investigations for the SOI LDMOS with alternated high-k dielectric and step doped silicon pillars 被引量:2
18
作者 姚佳飞 郭宇锋 +3 位作者 张振宇 杨可萌 张茂林 夏天 《Chinese Physics B》 SCIE EI CAS CSCD 2020年第3期460-467,共8页
This paper presents a new silicon-on-insulator(SOI) lateral-double-diffused metal-oxide-semiconductor transistor(LDMOST) device with alternated high-k dielectric and step doped silicon pillars(HKSD device). Due to the... This paper presents a new silicon-on-insulator(SOI) lateral-double-diffused metal-oxide-semiconductor transistor(LDMOST) device with alternated high-k dielectric and step doped silicon pillars(HKSD device). Due to the modulation of step doping technology and high-k dielectric on the electric field and doped profile of each zone, the HKSD device shows a greater performance. The analytical models of the potential, electric field, optimal breakdown voltage, and optimal doped profile are derived. The analytical results and the simulated results are basically consistent, which confirms the proposed model suitable for the HKSD device. The potential and electric field modulation mechanism are investigated based on the simulation and analytical models. Furthermore, the influence of the parameters on the breakdown voltage(BV) and specific on-resistance(R_(on,sp)) are obtained. The results indicate that the HKSD device has a higher BV and lower R_(on,sp) compared to the SD device and HK device. 展开更多
关键词 high-k dielectric STEP doped silicon PILLAR model BREAKDOWN voltage
下载PDF
Si–Ge based vertical tunnel field-effect transistor of junction-less structure with improved sensitivity using dielectric modulation for biosensing applications
19
作者 Lucky Agarwal Varun Mishra +2 位作者 Ravi Prakash Dwivedi Vishal Goyal Shweta Tripathi 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第12期644-651,共8页
A dielectric modulation strategy for gate oxide material that enhances the sensing performance of biosensors in junction-less vertical tunnel field effect transistors(TFETs)is reported.The junction-less technique,in w... A dielectric modulation strategy for gate oxide material that enhances the sensing performance of biosensors in junction-less vertical tunnel field effect transistors(TFETs)is reported.The junction-less technique,in which metals with specific work functions are deposited on the source region to modulate the channel conductivity,is used to provide the necessary doping for the proper functioning of the device.TCAD simulation studies of the proposed structure and junction structure have been compared,and showed an enhanced rectification of 10^(4) times.The proposed structure is designed to have a nanocavity of length 10 nm on the left-and right-hand sides of the fixed gate dielectric,which improves the biosensor capture area,and hence the sensitivity.By considering neutral and charged biomolecules with different dielectric constants,TCAD simulation studies were compared for their sensitivities.The off-state current IOFFcan be used as a suitable sensing parameter because it has been observed that the proposed sensor exhibits a significant variation in drain current.Additionally,it has been investigated how positively and negatively charged biomolecules affect the drain current and threshold voltage.To explore the device performance when the nanogaps are fully filled,half filled and unevenly filled,extensive TCAD simulations have been run.The proposed TFET structure is further benchmarked to other structures to show its better sensing capabilities. 展开更多
关键词 biomolecules high-k dielectric junction-less vertical tunnel field effect transistor(TFET)
下载PDF
Phase control of magnetron sputtering deposited Gd_2O_3 thin films as high-κ gate dielectrics 被引量:1
20
作者 岳守晶 魏峰 +3 位作者 王毅 杨志民 屠海令 杜军 《Journal of Rare Earths》 SCIE EI CAS CSCD 2008年第3期371-374,共4页
Gd2O3 thin films as high-κ gate dielectrics were deposited directly on Si(001) substrates by magnetron sputtering at a pressure of 1.3 Pa and different temperatures. X-ray diffraction results revealed that all the ... Gd2O3 thin films as high-κ gate dielectrics were deposited directly on Si(001) substrates by magnetron sputtering at a pressure of 1.3 Pa and different temperatures. X-ray diffraction results revealed that all the films grown from 450 to 570 ℃ were crystalline, and the Gd2O3 thin films consisted of a mixture of cubic and monoclinic phases. The growth temperature was a critical parameter for the phase constituents and their relative amount. Low temperature was favorable for the formation of cubic phase while higher temperature gave rise to more monoclinic phase. All the Gd2O3 thin films grown from different temperatures exhibited acceptable electrical properties, such as low leakage current density (JL) of 10-5 A/cm^2 at zero bias with capacitance equivalent SiO2 thickness in the range of 6-13 nm. Through the comparison between films grown at 450 and 570 ℃, the existence of monoclinic phase caused an increase in JL by nearly one order of magnitude and a reduction of effective dielectric constant from 17 to 9. 展开更多
关键词 Gd2O3 thin film rare earth oxide high-κ gate dielectric magnetron sputtering
下载PDF
上一页 1 2 15 下一页 到第
使用帮助 返回顶部