期刊文献+
共找到336篇文章
< 1 2 17 >
每页显示 20 50 100
Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process
1
作者 王艳蓉 杨红 +10 位作者 徐昊 王晓磊 罗维春 祁路伟 张淑祥 王文武 闫江 朱慧珑 赵超 陈大鹏 叶甜春 《Chinese Physics B》 SCIE EI CAS CSCD 2015年第11期464-467,共4页
A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce the gate leakage and improve the device's performance. ... A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce the gate leakage and improve the device's performance. In this paper, we systematically investigate the electrical parameters and the time-dependent dielectric breakdown (TDDB) characteristics of positive channel metal oxide semiconductor (PMOS) under different MDMA process conditions, including the depo- sition/annealing (D&A) cycles, the D&A time, and the total annealing time. The results show that the increases of the number of D&A cycles (from 1 to 2) and D&A time (from 15 s to 30 s) can contribute to the results that the gate leakage current decreases by about one order of magnitude and that the time to fail (TTF) at 63.2% increases by about several times. However, too many D&A cycles (such as 4 cycles) make the equivalent oxide thickness (EOT) increase by about 1A and the TTF of PMOS worsen. Moreover, different D&A times and numbers of D&A cycles induce different breakdown mechanisms. 展开更多
关键词 high-k/metal gate time dependent dielectric breakdown multi-deposition multi-annealing
下载PDF
Investigation of degradation and recovery characteristics of NBTI in 28-nm high-k metal gate process
2
作者 巩伟泰 李闫 +2 位作者 孙亚宾 石艳玲 李小进 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第12期628-635,共8页
Degradation induced by the negative bias temperature instability(NBTI)can be attributed to three mutually uncoupled physical mechanisms,i.e.,the generation of interface traps(ΔV_(IT)),hole trapping in pre-existing ga... Degradation induced by the negative bias temperature instability(NBTI)can be attributed to three mutually uncoupled physical mechanisms,i.e.,the generation of interface traps(ΔV_(IT)),hole trapping in pre-existing gate oxide defects(ΔV_(HT)),and the generation of gate oxide defects(ΔV_(OT)).In this work,the characteristic of NBTI for p-type MOSFET fabricated by using a 28-nm high-k metal gate(HKMG)process is thoroughly studied.The experimental results show that the degradation is enhanced at a larger stress bias and higher temperature.The effects of the three underlying subcomponents are evaluated by using the comprehensive models.It is found that the generation of interface traps dominates the NBTI degradation during long-time NBTI stress.Moreover,the NBTI parameters of the power-law time exponent and temperature activation energy as well as the gate oxide field acceleration are extracted.The dependence of operating lifetime on stress bias and temperature is also discussed.It is observed that NBTI lifetime significantly decreases as the stress increases.Furthermore,the decrease of charges related to interface traps and hole detrapping in pre-existing gate oxide defects are used to explain the recovery mechanism after stress. 展开更多
关键词 negative bias temperature instability(NBTI) high-k metal gate(HKMG) threshold voltage shift interface trap gate oxide defect
下载PDF
Series resistance effect on time zero dielectrics breakdown characteristics of MOSCAP with ultra-thin EOT high-k/metal gate stacks
3
作者 徐昊 杨红 +9 位作者 王艳蓉 王文武 万光星 任尚清 罗维春 祁路伟 赵超 陈大鹏 刘新宇 叶甜春 《Journal of Semiconductors》 EI CAS CSCD 2016年第5期48-51,共4页
The time zero dielectric breakdown characteristics of MOSCAP with ultra-thin EOT high-k metal gate stacks are studied. The TZDB results show an abnormal area dependence due to the series resistance effect. The series ... The time zero dielectric breakdown characteristics of MOSCAP with ultra-thin EOT high-k metal gate stacks are studied. The TZDB results show an abnormal area dependence due to the series resistance effect. The series resistance components extracted from the Fowler-Nordheim tunneling relation are attributed to the spreading resistance due to the asymmetry electrodes. Based on a series model to eliminate the series resistance effect, an area acceleration dependence is obtained by correcting the TZDB results. The area dependence follows Poisson area scaling rules, which indicates that the mechanism of TZDB is the same as TDDB and could be considered as a trap generation process. 展开更多
关键词 high-k/metal gate stacks ultra-thin EOT TZDB series resistance effect
原文传递
Challenges in Atomic-Scale Characterization of High-k Dielectrics and Metal Gate Electrodes for Advanced CMOS Gate Stacks 被引量:1
4
作者 Xinhua Zhu Jian-min Zhu Aidong Li Zhiguo Liu Naiben Ming 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2009年第3期289-313,共25页
The decreasing feature sizes in complementary metal-oxide semiconductor (CMOS) transistor technology will require the replacement of SiO2 with gate dielectrics that have a high dielectric constant (high-k) because... The decreasing feature sizes in complementary metal-oxide semiconductor (CMOS) transistor technology will require the replacement of SiO2 with gate dielectrics that have a high dielectric constant (high-k) because as the SiO2 gate thickness is reduced below 1.4 nm, electron tunnelling effects and high leakage currents occur in SiO2, which present serious obstacles to future device reliability. In recent years significant progress has been made on the screening and selection of high-k gate dielectrics, understanding their physical properties, and their integration into CMOS technology. Now the family of hafnium oxide-based materials has emerged as the leading candidate for high-k gate dielectrics due to their excellent physical properties. It is also realized that the high-k oxides must be implemented in conjunction with metal gate electrodes to get sufficient potential for CMOS continue scaling. In the advanced nanoscale Si-based CMOS devices, the composition and thickness of interfacial layers in the gate stacks determine the critical performance of devices. Therefore, detailed atomic- scale understandings of the microstructures and interfacial structures built in the advanced CMOS gate stacks, are highly required. In this paper, several high-resolution electron, ion, and photon-based techniques currently used to characterize the high-k gate dielectrics and interfaces at atomic-scale, are reviewed. Particularly, we critically review the research progress on the characterization of interface behavior and structural evolution in the high-k gate dielectrics by high-resolution transmission electron microscopy (HRTEM) and the related techniques based on scanning transmission electron microscopy (STEM), including high-angle annular dark- field (HAADF) imaging (also known as Z-contrast imaging), electron energy-loss spectroscopy (EELS), and energy dispersive X-ray spectroscopy (EDS), due to that HRTEM and STEM have become essential metrology tools for characterizing the dielectric gate stacks in the present and future generations of CMOS devices. In Section 1 of this review, the working principles of each technique are briefly introduced and their key features are outlined. In Section 2, microstructural characterizations of high-k gate dielectrics at atomic-scale by electron microscopy are critically reviewed by citing some recent results reported on high-k gate dielectrics. In Section 3, metal gate electrodes and the interfacial structures between high-k dielectrics and metal gates are discussed. The electron beam damage effects in high-k gate stacks are also evaluated, and their origins and prevention are described in Section 4. Finally, we end this review with personal perspectives towards the future challenges of atomic-scale material characterization in advanced CMOS gate stacks. 展开更多
关键词 high-k gate dielectrics metal gate electrodes CMOS gate stack HRTEM STEM
下载PDF
Ambipolar performance improvement of the C-shaped pocket TFET with dual metal gate and gate–drain underlap
5
作者 赵梓淼 陈子馨 +9 位作者 刘伟景 汤乃云 刘江南 刘先婷 李宣霖 潘信甫 唐敏 李清华 白伟 唐晓东 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第10期700-707,共8页
Dual-metal gate and gate–drain underlap designs are introduced to reduce the ambipolar current of the device based on the C-shaped pocket TFET(CSP-TFET).The effects of gate work function and gate–drain underlap leng... Dual-metal gate and gate–drain underlap designs are introduced to reduce the ambipolar current of the device based on the C-shaped pocket TFET(CSP-TFET).The effects of gate work function and gate–drain underlap length on the DC characteristics and analog/RF performance of CSP-TFET devices,such as the on-state current(I_(on)),ambipolar current(I_(amb)),transconductance(g_(m)),cut-off frequency(f_(T))and gain–bandwidth product(GBP),are analyzed and compared in this work.Also,a combination of both the dual-metal gate and gate–drain underlap designs has been proposed for the C-shaped pocket dual metal underlap TFET(CSP-DMUN-TFET),which contains a C-shaped pocket area that significantly increases the on-state current of the device;this combination design substantially reduces the ambipolar current.The results show that the CSP-DMUN-TFET demonstrates an excellent performance,including high I_(on)(9.03×10^(-4)A/μm),high I_(on)/I_(off)(~10^(11)),low SS_(avg)(~13 mV/dec),and low I_(amb)(2.15×10^(-17)A/μm).The CSP-DMUN-TFET has the capability to fully suppress ambipolar currents while maintaining high on-state currents,making it a potential replacement in the next generation of semiconductor devices. 展开更多
关键词 tunnel field effect transistor ambipolar current dual metal gate gate–drain underlap
下载PDF
Temperature- and voltage-dependent trap generation model in high-k metal gate MOS device with percolation simulation
6
作者 徐昊 杨红 +7 位作者 王艳蓉 王文武 罗维春 祁路伟 李俊峰 赵超 陈大鹏 叶甜春 《Chinese Physics B》 SCIE EI CAS CSCD 2016年第8期352-356,共5页
High-k metal gate stacks are being used to suppress the gate leakage due to tunneling for sub-45 nm technology nodes.The reliability of thin dielectric films becomes a limitation to device manufacturing,especially to ... High-k metal gate stacks are being used to suppress the gate leakage due to tunneling for sub-45 nm technology nodes.The reliability of thin dielectric films becomes a limitation to device manufacturing,especially to the breakdown characteristic.In this work,a breakdown simulator based on a percolation model and the kinetic Monte Carlo method is set up,and the intrinsic relation between time to breakdown and trap generation rate R is studied by TDDB simulation.It is found that all degradation factors,such as trap generation rate time exponent m,Weibull slope β and percolation factor s,each could be expressed as a function of trap density time exponent α.Based on the percolation relation and power law lifetime projection,a temperature related trap generation model is proposed.The validity of this model is confirmed by comparing with experiment results.For other device and material conditions,the percolation relation provides a new way to study the relationship between trap generation and lifetime projection. 展开更多
关键词 high-k metal gate TDDB percolation theory kinetic Monte Carlo trap generation model
下载PDF
Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-k metal gate NMOSFET with kMC TDDB simulations
7
作者 徐昊 杨红 +11 位作者 罗维春 徐烨峰 王艳蓉 唐波 王文武 祁路伟 李俊峰 闫江 朱慧珑 赵超 陈大鹏 叶甜春 《Chinese Physics B》 SCIE EI CAS CSCD 2016年第8期347-351,共5页
The thickness effect of the TiN capping layer on the time dependent dielectric breakdown(TDDB) characteristic of ultra-thin EOT high-k metal gate NMOSFET is investigated in this paper.Based on experimental results,i... The thickness effect of the TiN capping layer on the time dependent dielectric breakdown(TDDB) characteristic of ultra-thin EOT high-k metal gate NMOSFET is investigated in this paper.Based on experimental results,it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer.From the charge pumping measurement and secondary ion mass spectroscopy(SIMS) analysis,it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density.In addition,the influences of interface and bulk trap density ratio Nit/Not are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo(kMC) method.The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. 展开更多
关键词 high-k metal gate TiN capping layer TDDB interface trap density
下载PDF
Combining a multi deposition multi annealing technique with a scavenging(Ti) to improve the high-k/metal gate stack performance for a gate-last process
8
作者 张淑祥 杨红 +4 位作者 唐波 唐兆云 徐烨峰 许静 闫江 《Journal of Semiconductors》 EI CAS CSCD 2014年第10期182-186,共5页
ALD HfO2 films fabricated by a novel multi deposition multi annealing (MDMA) technique are inves- tigated, we have included samples both with and without a Ti scavenging layer. As compared to the reference gate stac... ALD HfO2 films fabricated by a novel multi deposition multi annealing (MDMA) technique are inves- tigated, we have included samples both with and without a Ti scavenging layer. As compared to the reference gate stack treated by conventional one-time deposition and annealing (D&A), devices receiving MDMA show a signif- icant reduction in leakage current. Meanwhile, EOT growth is effectively controlled by the Ti scavenging layer. This improvement strongly correlates with the cycle number of D&A (while keeping the total annealing time and total dielectrics thickness the same). Transmission electron microscope and energy-dispersive X-ray spectroscopy analysis suggests that oxygen incorporation into both the high-k film and the interfacial layer is likely to be re- sponsible for the improvement of the device. This novel MDMA is promising for the development of gate stack technology in a gate last integration scheme. 展开更多
关键词 postdeposition annealing SCAVENGING oxygen vacancy equivalent oxide thickness metal gate high-k
原文传递
Analysis of flatband voltage shift of metal/high-k/SiO_2/Si stack based on energy band alignment of entire gate stack
9
作者 韩锴 王晓磊 +2 位作者 徐永贵 杨红 王文武 《Chinese Physics B》 SCIE EI CAS CSCD 2014年第11期536-540,共5页
A theoretical model of flatband voltage (VFB) of metal/high-k/Si02/Si stack is proposed based on band alignment of entire gate stack, i.e., the VFB is obtained by simultaneously considering band alignments of metal/... A theoretical model of flatband voltage (VFB) of metal/high-k/Si02/Si stack is proposed based on band alignment of entire gate stack, i.e., the VFB is obtained by simultaneously considering band alignments of metal/high-k, high-k/SiO2 and SiO2/Si interfaces, and their interactions. Then the VFB of TiN/HfO2/SiO2/Si stack is experimentally obtained and theoretically investigated by this model. The theoretical calculations are in good agreement with the experimental results. Furthermore, both positive VFB shift of TiN/HfO2/SiO2/Si stack and Fermi level pinning are successfully interpreted and attributed to the dielectric contact induced gap states at TiN/HfO2 and HfO2/SiO2 interfaces. 展开更多
关键词 metal gate high-k dielectric band alignment Vfb shift
下载PDF
Key technologies for dual high-k and dual metal gate integration
10
作者 李永亮 徐秋霞 王文武 《Chinese Physics B》 SCIE EI CAS CSCD 2018年第9期529-534,共6页
The key technologies for the dual high-k and dual metal gate, such as the electrical optimization of metal insert poly-Si stack structure, the separating of high-k and metal gate of n/pMOS in different regions of the ... The key technologies for the dual high-k and dual metal gate, such as the electrical optimization of metal insert poly-Si stack structure, the separating of high-k and metal gate of n/pMOS in different regions of the wafer, and the synchronous etching of n/pMOS gate stack, are successfully developed. First, reasonable flat-band voltage and equivalent oxide thickness of pMOS MIPS structure are obtained by further optimizing the HfSiAlON dielectric through incorporating more Al-O dipole at interface between HfSiAlON and bottom SiOx. Then, the separating of high-k and metal gate for n/pMOS is achieved by SC1(NH4OH:H2O2:H2O = 1 : 1 : 5) and DHF-based solution for the selective removing of n MOS TaN and Hf Si ON and by BCl3-based plasma and DHF-based solution for the selective removing of pMOS TaN/Mo and HfSiAlON.After that, the synchronous etching of n/pMOS gate stack is developed by utilizing optimized BCl3/SF6/O2/Ar plasma to obtain a vertical profile for TaN and TaN/Mo and by utilizing BCl3/Ar plasma combined with DHF-based solution to achieve high selectivity to Si substrate. Finally, good electrical characteristics of CMOS devices, obtained by utilizing these new developed technologies, further confirm that they are practicable technologies for DHDMG integration. 展开更多
关键词 high-k metal gate metal insert poly-Si stack(MIPS) dual high-k and dual metal gate(DHDMG)
下载PDF
Flat-band voltage shift in metal-gate/high-k/Si stacks
11
作者 黄安平 郑晓虎 +4 位作者 肖志松 杨智超 王玫 朱剑豪 杨晓东 《Chinese Physics B》 SCIE EI CAS CSCD 2011年第9期381-391,共11页
In metal-gate/high-k stacks adopted by the 45 nm technology node, the fiat-band voltage (Vfb) shift remains one of the most critical challenges, particularly the flat-band voltage roll-off (Vfb roll-off) phenomeno... In metal-gate/high-k stacks adopted by the 45 nm technology node, the fiat-band voltage (Vfb) shift remains one of the most critical challenges, particularly the flat-band voltage roll-off (Vfb roll-off) phenomenon in p-channel metal- oxide-semiconductor (pMOS) devices with an ultrathin oxide layer. In this paper, recent progress on the investigation of the Vfb shift and the origin of the Vfb roll-off in the metal-gate/high-k pMOS stacks are reviewed. Methods that can alleviate the Vfb shift phenomenon are summarized and the future research trend is described. 展开更多
关键词 flat-band voltage shift Vfb roll-off metal gate high-k dielectrics
下载PDF
Characterization of positive bias temperature instability of NMOSFET with high-k/metal gate last process
12
作者 任尚清 杨红 +12 位作者 唐波 徐昊 罗维春 唐兆云 徐烨锋 许静 王大海 李俊峰 闫江 赵超 陈大鹏 叶甜春 王文武 《Journal of Semiconductors》 EI CAS CSCD 2015年第1期86-89,共4页
Positive bias temperature instability(PBTI) characteristics and degradation mechanisms of NMOSFET with high-k/metal gate last process have been systematically investigated. The time evolution of threshold voltage sh... Positive bias temperature instability(PBTI) characteristics and degradation mechanisms of NMOSFET with high-k/metal gate last process have been systematically investigated. The time evolution of threshold voltage shift during PBTI stress still follows a power law. However, the exponent n decreases from 0.26 to 0.16 linearly as the gate stress voltage increases from 0.6 to 1.2 V. There is no interface state generation during stress because of the negligible sub-threshold swing change. Moreover, the activation energy is 0.1 e V, which implies that electrons directly tunnel into high-k bulk and are trapped by pre-existing traps resulting into PBTI degradation. During recovery the threshold voltage shift is linear in lgt, and a mathematical model is proposed to express threshold voltage shift. 展开更多
关键词 positive bias temperature instability(PBTI) high-k metal gate
原文传递
A two-dimensional threshold voltage analytical model for metal-gate/high-k/SiO_2 /Si stacked MOSFETs
13
作者 马飞 刘红侠 +1 位作者 樊继斌 王树龙 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第10期439-445,共7页
In this paper the influences of the metal-gate and high-k/SiO 2 /Si stacked structure on the metal-oxide-semiconductor field-effect transistor(MOSFET) are investigated.The flat-band voltage is revised by considering... In this paper the influences of the metal-gate and high-k/SiO 2 /Si stacked structure on the metal-oxide-semiconductor field-effect transistor(MOSFET) are investigated.The flat-band voltage is revised by considering the influences of stacked structure and metal-semiconductor work function fluctuation.The two-dimensional Poisson's equation of potential distribution is presented.A threshold voltage analytical model for metal-gate/high-k/SiO 2 /Si stacked MOSFETs is developed by solving these Poisson's equations using the boundary conditions.The model is verified by a two-dimensional device simulator,which provides the basic design guidance for metal-gate/high-k/SiO 2 /Si stacked MOSFETs. 展开更多
关键词 metal-gate high-k work function flat-band voltage threshold voltage metal-oxide-semiconductor field-effect transistor
下载PDF
Growth mechanism of atomic-layer-deposited TiAlC metal gate based on TiCl4 and TMA precursors 被引量:2
14
作者 项金娟 丁玉强 +3 位作者 杜立永 李俊峰 王文武 赵超 《Chinese Physics B》 SCIE EI CAS CSCD 2016年第3期371-374,共4页
TiAIC metal gate for the metal-oxide-semiconductor field-effect-transistor (MOSFET) is grown by the atorr/ic layer deposition method using TiCI4 and AI(CH3) 3 (TMA) as precursors. It is found that the major PrOd... TiAIC metal gate for the metal-oxide-semiconductor field-effect-transistor (MOSFET) is grown by the atorr/ic layer deposition method using TiCI4 and AI(CH3) 3 (TMA) as precursors. It is found that the major PrOduct of the TIC14 and TMA reaction is TiA1C, and the components of C and A1 are found to increase with higher growth temperature. The reaction mechanism is investigated by using x-ray photoemission spectroscopy (XPS), Fourier transform infrared spectroscopy (FFIR), and scanning electron microscope (SEM). The reaction mechanism is as follows. Ti is generated through the reduction of TiCI4 by TMA. The reductive behavior of TMA involves the formation of ethane. The Ti from the reduction of TIC14 by TMA reacts with ethane easily forming heterogenetic TiCH2, TiCH=CH2 and TiC fragments. In addition, TMA thermally decomposes, driving A1 into the TiC film and leading to TiA1C formation. With the growth temperature increasing, TMA decomposes more severely, resulting in more C and A1 in the TiA1C film. Thus, the film composition can be controlled by the growth temperature to a certain extent. 展开更多
关键词 atomic layer deposition metal gate TiAIC reaction mechanism
下载PDF
Current Progress of Hf(Zr)-Based High-k Gate Dielectric Thin Films 被引量:1
15
作者 Gang HE Lide ZHANG 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2007年第4期433-448,共16页
With the continued downscaling of complementary metal-oxide-semiconductor field effect transistor dimensions, high-dielectric constant (high-k) gate materials, as alternatives to SiO2, have been extensively investig... With the continued downscaling of complementary metal-oxide-semiconductor field effect transistor dimensions, high-dielectric constant (high-k) gate materials, as alternatives to SiO2, have been extensively investigated. Hf (Zr)-based high-k gate dielectric thin films have been regarded as the most promising candidates for high-k gate dielectric according to the International Technology Roadmap for Semiconductor due to their excellent physical properties and performance. This paper reviews the recent progress on Hf (Zr)-based high-k gate dielectrics based on PVD (physical vapor deposition) process. This article begins with a survey of various methods developed for generating Hf (Zr)-based high-k gate dielectrics, and then mainly focuses on microstructure, synthesis, characterization, formation mechanisms of interfacial layer, and optical properties of Hf (Zr)-based high-k gate dielectrics. Finally, this review concludes with personal perspectives towards future research on Hf (Zr)-based high-k gate dielectrics. 展开更多
关键词 Hf (Zr)-based high-k gate dielectric PVD Optical properties metal-oxide-semiconductor
下载PDF
High performance trench MOS barrier Schottky diode with high-k gate oxide 被引量:2
16
作者 翟东媛 朱俊 +3 位作者 赵毅 蔡银飞 施毅 郑有炓 《Chinese Physics B》 SCIE EI CAS CSCD 2015年第7期426-428,共3页
A novel trench MOS barrier Schottky diode(TMBS) device with a high-k material introduced into the gate insulator is reported, which is named high-k TMBS. By simulation with Medici, it is found that the high-k TMBS c... A novel trench MOS barrier Schottky diode(TMBS) device with a high-k material introduced into the gate insulator is reported, which is named high-k TMBS. By simulation with Medici, it is found that the high-k TMBS can have 19.8% lower leakage current while maintaining the same breakdown voltage and forward turn-on voltage compared with the conventional regular trench TMBS. 展开更多
关键词 trench MOS barrier Schottky diode high-k gate oxide leakage current
下载PDF
Breakdown voltage enhancement in GaN channel and AlGaN channel HEMTs using large gate metal height
17
作者 王中旭 杜林 +11 位作者 刘俊伟 王颖 江芸 季思蔚 董士伟 陈伟伟 谭骁洪 李金龙 李小军 赵胜雷 张进成 郝跃 《Chinese Physics B》 SCIE EI CAS CSCD 2020年第2期420-424,共5页
A large gate metal height technique is proposed to enhance breakdown voltage in GaN channel and AlGaN channel high-electron-mobility-transistors(HEMTs).For GaN channel HEMTs with gate-drain spacing LGD=2.5μm,the brea... A large gate metal height technique is proposed to enhance breakdown voltage in GaN channel and AlGaN channel high-electron-mobility-transistors(HEMTs).For GaN channel HEMTs with gate-drain spacing LGD=2.5μm,the breakdown voltage VBR increases from 518 V to 582 V by increasing gate metal height h from 0.2μm to 0.4μm.For GaN channel HEMTs with LGD=7μm,VBR increases from 953 V to 1310 V by increasing h from 0.8μm to 1.6μm.The breakdown voltage enhancement results from the increase of the gate sidewall capacitance and depletion region extension.For Al0.4Ga0.6N channel HEMT with LGD=7μm,VBR increases from 1535 V to 1763 V by increasing h from 0.8μm to 1.6μm,resulting in a high average breakdown electric field of 2.51 MV/cm.Simulation and analysis indicate that the high gate metal height is an effective method to enhance breakdown voltage in GaN-based HEMTs,and this method can be utilized in all the lateral semiconductor devices. 展开更多
关键词 GAN CHANNEL HEMTS ALGAN CHANNEL HEMTS breakdown voltage gate metal HEIGHT
下载PDF
The influence and explanation of fringing-induced barrier lowering on sub-100 nm MOSFETs with high-k gate dielectrics
18
作者 马飞 刘红侠 +1 位作者 匡潜玮 樊继斌 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第5期602-606,共5页
The fringing-induced barrier lowering(FIBL) effect of sub-100 nm MOSFETs with high-k gate dielectrics is investigated using a two-dimensional device simulator.An equivalent capacitance theory is proposed to explain ... The fringing-induced barrier lowering(FIBL) effect of sub-100 nm MOSFETs with high-k gate dielectrics is investigated using a two-dimensional device simulator.An equivalent capacitance theory is proposed to explain the physics mechanism of the FIBL effect.The FIBL effect is enhanced and the short channel performance is degraded with increasing capacitance.Based on equivalent capacitance theory,the influences of channel length,junction depth,gate/lightly doped drain(LDD) overlap length,spacer material and spacer width on FIBL is thoroughly investigated.A stack gate dielectric is presented to suppress the FIBL effect. 展开更多
关键词 high-k gate dielectric fringing-induced barrier lowering stack gate dielectric MOSFET
下载PDF
The electrical characteristics of a 4H-silicon carbide metal-insulator-semiconductor structure with Al_2O_3 as the gate dielectric 被引量:1
19
作者 刘莉 杨银堂 马晓华 《Chinese Physics B》 SCIE EI CAS CSCD 2011年第12期366-372,共7页
A 4H-silicon carbide metal-insulator-semiconductor structure with ultra-thin Al2O3 as the gate dielectric, deposited by atomic layer deposition on tile epitaxial layer of a 4H-SiC (0001) 80N-/N+ substrate, has been... A 4H-silicon carbide metal-insulator-semiconductor structure with ultra-thin Al2O3 as the gate dielectric, deposited by atomic layer deposition on tile epitaxial layer of a 4H-SiC (0001) 80N-/N+ substrate, has been fabricated. The experimental results indicate that the prepared ultra-thin Al2O3 gate dielectric exhibits good physical and electrical characteristics, including a high breakdown electrical field of 25 MV/cm, excellent interface properties (1 × 10^14 cm^-2) and low gate-leakage current (IG = 1 × 10^-3 A/cm 2@Eox = 8 MV/cm). Analysis of the current conduction mecha- nism on the deposited Al2O3 gate dielectric was also systematically performed. The confirmed conduction mechanisms consisted of Fowler-Nordheim (FN) tuaneling, the Frenkel-Poole mechanism, direct tunneling and Schottky emission, and the dominant current conduction mechanism depends on the applied electrical field. When the gate leakage current mechanism is dominated by FN tunneling, the barrier height of SiC/Al2O3 is 1.4 eV, which can meet the requirements of silicon carbide metal-insulator-semiconductor transistor devices. 展开更多
关键词 AL2O3 4H-silicon carbide metal-insulator-semiconductor capacitor gate leakage current C-V characteristics
下载PDF
A threshold voltage analytical model for high-k gate dielectric MOSFETs with fully overlapped lightly doped drain structures
20
作者 马飞 刘红侠 +1 位作者 匡潜玮 樊继斌 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第5期596-601,共6页
We investigate the influence of voltage drop across the lightly doped drain(LDD) region and the built-in potential on MOSFETs,and develop a threshold voltage model for high-k gate dielectric MOSFETs with fully overl... We investigate the influence of voltage drop across the lightly doped drain(LDD) region and the built-in potential on MOSFETs,and develop a threshold voltage model for high-k gate dielectric MOSFETs with fully overlapped LDD structures by solving the two-dimensional Poisson's equation in the silicon and gate dielectric layers.The model can predict the fringing-induced barrier lowering effect and the short channel effect.It is also valid for non-LDD MOSFETs.Based on this model,the relationship between threshold voltage roll-off and three parameters,channel length,drain voltage and gate dielectric permittivity,is investigated.Compared with the non-LDD MOSFET,the LDD MOSFET depends slightly on channel length,drain voltage,and gate dielectric permittivity.The model is verified at the end of the paper. 展开更多
关键词 threshold voltage high-k gate dielectric fringing-induced barrier lowering short channeleffect
下载PDF
上一页 1 2 17 下一页 到第
使用帮助 返回顶部