期刊文献+
共找到40篇文章
< 1 2 >
每页显示 20 50 100
使用HLS开发FPGA异构加速系统:问题、优化方法和机遇
1
作者 徐诚 郭进阳 +3 位作者 李超 王靖 汪陶磊 赵杰茹 《计算机科学与探索》 CSCD 北大核心 2023年第8期1729-1748,共20页
目前,现场可编程门阵列(field programmable gate array,FPGA)由于可编程性与出色的能效比受到了学术界与工业界的青睐,但是传统的基于硬件描述语言的FPGA开发方式面临编程挑战。硬件描述语言区别于通常使用的高级语言,阻碍了软件开发者... 目前,现场可编程门阵列(field programmable gate array,FPGA)由于可编程性与出色的能效比受到了学术界与工业界的青睐,但是传统的基于硬件描述语言的FPGA开发方式面临编程挑战。硬件描述语言区别于通常使用的高级语言,阻碍了软件开发者对FPGA的利用。高层次综合(high-level synthesis,HLS)使得开发者可以从高级语言如C/C++层面直接进行FPGA硬件层面的开发,是解决这一问题的首选,受到了广泛的关注。近年来,学术界有许多关于HLS的工作,致力于解决HLS应用过程中的各类问题,并提升通过HLS开发的系统的性能。围绕使用HLS开发FPGA异构系统这一问题,以一种异构系统开发者的视角,列举了可行的优化方向。在编译优化层面,HLS工具可以通过插入编译指导与设计高效的空间探索算法,自动生成性能较高的RTL设计;在访存优化层面,HLS工具可以设立缓冲区,拆分并复制数据,以提升系统整体带宽;在并行优化层面,HLS工具可以实现语句级、任务级以及板卡级的并行。一些如DSL的技术虽然不能直接提升异构加速系统的性能,但是可以进一步提升HLS工具的可用性。最后,总结了当前HLS面临的一些挑战,并对HLS的未来研究方向进行了展望。 展开更多
关键词 现场可编程门阵列(FPGA) 高层次综合 异构系统 高级语言 编译优化
下载PDF
ON THE OPTIMIZATION OF VLSI ALLOCATION IN HIGH-LEVEL SYNTHESIS 被引量:1
2
作者 He Zhongli Zhou Dian Hu Qingsheng Zhuang Zhenquan(Department of Electronic Engineering, University of Science and Technology of China, Hefei 230026) (The University of North Carolina at Charlotte) 《Journal of Electronics(China)》 2000年第3期279-288,共10页
Allocation is one of main tasks in the high-level synthesis. It includes module , functional unit allocation, storage allocation and interconnection allocation. This paper models the allocation problem as cluster anal... Allocation is one of main tasks in the high-level synthesis. It includes module , functional unit allocation, storage allocation and interconnection allocation. This paper models the allocation problem as cluster analysis and applies a new algorithm, neighbor state transition (NST) algorithm, for cluster optimization. It is proved that the algorithm produces an asymptotically global optimal solution with the upper bound on the cost function (1 + O(1/n)2-ε)F*, When F" is the cost of the optimum solution, n is the problem size and e is a positive parameter arbitrarily close to zero. The numerical examples show that the NST algorithm produces better results compared to the other known methods. 展开更多
关键词 high-level synthesis OPTIMIZATION ALLOCATION NEIGHBOR state TRANSITION
下载PDF
Synthesis of SrTiO_3 for immobilization of simulated HLW by SHS 被引量:1
3
作者 Ruizhu Zhang junjie Hao Zhimeng Guo 《Journal of University of Science and Technology Beijing》 CSCD 2005年第4期357-359,共3页
Strontium titanate synroc samples were synthesized by self-propagating high-temperature synthesis (SHS). Sr directly took part in the synthesis process. As a result, the loading content issue is basically resolved. ... Strontium titanate synroc samples were synthesized by self-propagating high-temperature synthesis (SHS). Sr directly took part in the synthesis process. As a result, the loading content issue is basically resolved. The products were characterized by density, microhardness X-ray diffraction, and scanning electron microscopy (SEM/EDS). The leaching rate was measured by the method of PCT (product consistency test). The results indicate that the Sr^2+-SrTiO3 compound is of high density, low leach rate and high stability and the synthesis process is feasible in technology and economy. It can be concluded that the strontium titanate synroc is a perfect material to immobilize HLW. 展开更多
关键词 strontium titanate high-level waste hlW) IMMOBILIZATION self-propagating high-temperature synthesis (SHS)
下载PDF
HETERONUCLEAR COMPLEX CONTAINING COPPER-LANTHANIDE ARRAYS: THE SYNTHESIS AND CRYSTAL STRUCTURE OF Ln_2Cu_4L_8 (HL)_4(OH)_2(CIO_4)_4(H_2O)_(10)·2CH_3COCH_3 (Ln = Tb (1), Y (2); HL = 2-Pyridone C_5H_5NO)
4
作者 Hong Ye SUN Ming Jie ZHANG +3 位作者 Chun Hui HUANG Guang Xian XU Zhong Sheng JIN Shong Chun JIN Research Center of Rare Earth Chemistry, Peking University, Beijing 100871, China Changchun Institute of Applied Chemistry, Academia Sinica Changchun 130022, China 《Chinese Chemical Letters》 SCIE CAS CSCD 1993年第7期649-652,共4页
Reaction of 2-pyridone, copper acetate and terbium(or yttrium) perchlorate in acetone with the mole ratio 6: 2: 1 results in the formation of heteronuclear complex Ln_2Cu_4L_8 (HL)_4 (OH)_2 (ClO_4)_4 (H_20)_(10) 2CH_3... Reaction of 2-pyridone, copper acetate and terbium(or yttrium) perchlorate in acetone with the mole ratio 6: 2: 1 results in the formation of heteronuclear complex Ln_2Cu_4L_8 (HL)_4 (OH)_2 (ClO_4)_4 (H_20)_(10) 2CH_3COCH_3(Ln = Tb (1), Y (2)). By recrystallizing (1) in CHCl_3 single crystals were obtained and the structure was determined by four-circle diffractometer. Data showed that the crystal is in space group C2/m with a=27. 454(9)A, b=13, 608A, c=30. 556(11)A, β=99. 89(3)°, v=11245. 7(7. 5)A^3. The structure was solved by a combination of Patterson method and Fourier technique. The final R value is 0. 103. In the structure, four copper and two terbium ions are bridged by 2-pyridone anions to form an essentially octahedral Cu_4Tb_2 core. The terbium atoms are each eight-coordinate and the copper atoms are five-coordinate. 展开更多
关键词 Cu OH CIO4 HETERONUCLEAR COMPLEX CONTAINING COPPER-LANTHANIDE ARRAYS H2O LN THE synthesis AND CRYSTAL STRUCTURE OF Ln2Cu4L8 TB hl CH
下载PDF
THE STUDIES OF REVERSAL ON INHIBITING DNA SYNTHESIS AND CLONAL FORMATION OF HL-60 CELLS WITH HYPERTHERMIA
5
作者 陈协群 沈素芸 +1 位作者 胡盛惠 伍柏松 《Chinese Journal of Cancer Research》 SCIE CAS CSCD 1994年第4期248-251,共4页
It was confirmed that the damage of HL-60 cells caused by heating (42℃, 60min) was of heterogeueity.The partial recovery of colony survival and 3H-TdR incorporation from inhibited HL-60 cells was acquired through sh... It was confirmed that the damage of HL-60 cells caused by heating (42℃, 60min) was of heterogeueity.The partial recovery of colony survival and 3H-TdR incorporation from inhibited HL-60 cells was acquired through short-term (24h) Iiquid culture. The resultsindicated that inhibition of DNA synthesis and colonyformation of leukemic cells by hyperthermia was partlyreversible. Its clinical significance and pathogenicmechanism were also discussed. 展开更多
关键词 HYPERTHERMIA DNA synthesis hl-60 cells Bone marrow Transplantation Autologous.
下载PDF
A high-level synthesis based dual-module redundancy with multi-residue detection(DMR-MRD)fault-tolerant method for on-board processing satellite communication systems
6
作者 杨文慧 Chen Xiang +2 位作者 Wang Yu Zhao Ming Wang Jing 《High Technology Letters》 EI CAS 2014年第3期245-252,共8页
On board processing(OBP) satellite systems have obtained more and more attentions in recent years because of their high efficiency and performance.However,the OBP transponders are very sensitive to the high energy par... On board processing(OBP) satellite systems have obtained more and more attentions in recent years because of their high efficiency and performance.However,the OBP transponders are very sensitive to the high energy particles in the space radiation environments.Single event upset(SEU)is one of the major radiation effects,which influences the satellite reliability greatly.Triple modular redundancy(TMR) is a classic and efficient method to mask SEUs.However,TMR uses three identical modules and a comparison logic,the circuit size becomes unacceptable,especially in the resource limited environments such as OBP systems.Considering that,a new SEU-tolerant method based on residue code and high-level synthesis(HLS) is proposed,and the new method is applied to FIR filters,which are typical structures in the OBP systems.The simulation results show that,for an applicable HLS scheduling scheme,area reduction can be reduced by 48.26%compared to TMR,while fault missing rate is 0.15%. 展开更多
关键词 卫星通信系统 容错方法 三模冗余 多残留检测 模块 综合型 空间辐射环境 单粒子翻转
下载PDF
基于HLS技术的Rijndael算法IP核实现与优化 被引量:1
7
作者 孙桂玲 纪永鑫 +1 位作者 张潺潺 李维祥 《微电子学与计算机》 CSCD 北大核心 2010年第4期205-208,212,共5页
为了降低传统设计模式在应对大规模SoC设计时带来高复杂度,使用高层次综合HLS技术进行了Rijndael算法IP核的设计、综合与仿真.针对Rijndael算法中的多种运算模块,研究并设计了面向硬件的编码方式及优化方案.通过对比,使用高层次综合技... 为了降低传统设计模式在应对大规模SoC设计时带来高复杂度,使用高层次综合HLS技术进行了Rijndael算法IP核的设计、综合与仿真.针对Rijndael算法中的多种运算模块,研究并设计了面向硬件的编码方式及优化方案.通过对比,使用高层次综合技术设计的IP核在各方面都接近或超越了使用传统方式设计的IP核,而设计复杂度大大降低,证明了使用HLS方法进行设计的优越性. 展开更多
关键词 高层次综合 Catapult synthesis RIJNDAEL SYSTEMC
下载PDF
基于HLS的矩阵求逆算法设计优化 被引量:2
8
作者 凌元 韩文俊 孙健 《电子技术与软件工程》 2021年第22期93-96,共4页
本文主要研究了HLS多层动态边界循环的优化策略。HLS利用C/C++语言完成算法设计和验证,通过高级综合工具自动生成RTL代码,显著缩短了算法FPGA设计复杂度及实现效率,在信号处理算法实现方面有着显著的优势。但对于具有多层动态循环边界... 本文主要研究了HLS多层动态边界循环的优化策略。HLS利用C/C++语言完成算法设计和验证,通过高级综合工具自动生成RTL代码,显著缩短了算法FPGA设计复杂度及实现效率,在信号处理算法实现方面有着显著的优势。但对于具有多层动态循环边界的算法,由于各层循环的数据依赖性及循环边界的不可预知性,HLS难以实现理想的结果。本文以Cholesky分解矩阵求逆算法为例,通过对矩阵求逆计算过程数据计算顺序、数据依赖性、运算步骤进行了分析与理论计算,提出了一种将多层循环优化为单层、两层循环的方法,解决了流水线优化指令高效应用问题。实现结果表明,经过优化后,在资源增加较少的情况下,矩阵求逆延迟性能提升118倍。 展开更多
关键词 hls(High Level synthesis) FPGA 矩阵求逆 Cholesky PIPELINE
下载PDF
基于HLS的实时图像去雾实现 被引量:7
9
作者 齐乐 张小刚 姚航 《计算机工程》 CAS CSCD 北大核心 2016年第5期224-229,共6页
户外图像或视频受到大气中烟雾的影响,存在模糊不清及颜色偏移等问题,在很大程度上影响户外视频系统正常稳定工作。现有的去雾算法计算复杂度较高,仅依靠软件对视频级进行去雾有一定难度。针对这一现状,分析暗原色先验去雾算法的计算瓶... 户外图像或视频受到大气中烟雾的影响,存在模糊不清及颜色偏移等问题,在很大程度上影响户外视频系统正常稳定工作。现有的去雾算法计算复杂度较高,仅依靠软件对视频级进行去雾有一定难度。针对这一现状,分析暗原色先验去雾算法的计算瓶颈,利用高级层次综合(HLS)工具实现去雾算法的硬件化,使用流水线技术将去雾算法运行在现场可编程门阵列上。实验结果表明,在保证去雾质量的前提下,对于1080P的实时场景,可以达到每秒45帧以上的处理速度,基本满足高清视频去雾的需求。 展开更多
关键词 暗通道 去雾 视频 现场可编程门阵列 实时 高级层次综合工具
下载PDF
基于HLS的SAR回波模拟硬件加速设计 被引量:2
10
作者 韩思齐 韩力 +1 位作者 孙林 吴琼之 《电子设计工程》 2018年第11期158-164,共7页
针对合成孔径雷达(SAR)回波模拟的实时性需求,提出了一种基于高层次综合(HLS)的回波模拟硬件加速系统。实时性是衡量回波模拟系统性能的重要指标,随着成像区域复杂度、成像质量要求等不断提高,回波模拟的计算复杂度急剧增加,模拟过程耗... 针对合成孔径雷达(SAR)回波模拟的实时性需求,提出了一种基于高层次综合(HLS)的回波模拟硬件加速系统。实时性是衡量回波模拟系统性能的重要指标,随着成像区域复杂度、成像质量要求等不断提高,回波模拟的计算复杂度急剧增加,模拟过程耗时巨大。将FPGA应用于SAR回波生成硬件加速,并引入高层次综合方法,解决了传统硬件开发的算法转换繁琐、不支持浮点运算等关键问题,经过验证能达到较高的性能与精度,保证了回波模拟的实时性,具有较高的应用价值。 展开更多
关键词 高层次综合(hls) 回波模拟 硬件加速 可编程逻辑门阵列(FPGA)
下载PDF
基于Vivado HLS的Down Scaler视频系统设计 被引量:2
11
作者 安航 《单片机与嵌入式系统应用》 2016年第11期21-23,共3页
介绍一种基于FPGA的Down Scaler视频系统设计。系统的核心部件采用Xilinx Kintex-7的板载XC7K325T芯片,系统设计使用Vivado工具,包括使用Vivado HLS进行Down Scaler模块设计。首先按照Vivado HLS的代码规范进行Down Scaler模块的C/C++... 介绍一种基于FPGA的Down Scaler视频系统设计。系统的核心部件采用Xilinx Kintex-7的板载XC7K325T芯片,系统设计使用Vivado工具,包括使用Vivado HLS进行Down Scaler模块设计。首先按照Vivado HLS的代码规范进行Down Scaler模块的C/C++代码编写,然后利用编译工具生成RTL级代码和综合结果 Down Scaler IP核,最后将Down Scaler IP核与TPG、VDMA等Xilinx视频IP核互连,构建实时视频系统。在满足实时性要求和FPGA资源消耗要求的条件下,该设计实现了对Down Scaler视频算法从PC端软件处理方式向FPGA平台硬件处理方式的移植。 展开更多
关键词 VIVADO hls FPGA DOWN SCALER 高层次综合
下载PDF
基于粒子群的HLS的自动化架构实现
12
作者 吴家飞 黄晞 施文灶 《计算机应用与软件》 北大核心 2018年第9期22-26,37,共6页
随着数据挖掘、深度学习等领域的火热的发展,在嵌入式设备实现深度学习等计算量较大的算法已经成为一种趋势。由于传统CPU和GPU平台已经难以保证算法实时性的情况下,利用FPGA高性能低功耗的特点对复杂算法实现硬件加速,有着较好的优势... 随着数据挖掘、深度学习等领域的火热的发展,在嵌入式设备实现深度学习等计算量较大的算法已经成为一种趋势。由于传统CPU和GPU平台已经难以保证算法实时性的情况下,利用FPGA高性能低功耗的特点对复杂算法实现硬件加速,有着较好的优势。利用Xilinx公司的HLS工具,基于粒子群算法设计一套硬件加速方法。该方法可实现算法优化方案的自动化寻找,极大地提升了设计效率。实验结果表明,该优化方法在寻找一般算法的较优方案上具有一定程度上的通用性。 展开更多
关键词 FPGA 模糊离散粒子群算法 高层次综合(hls)
下载PDF
基于Vivado HLS的硬件设计效能评估 被引量:1
13
作者 戴源 白雨鑫 +1 位作者 张伟 陈鑫 《电脑知识与技术》 2021年第19期1-4,共4页
本文为了研究面向FPGA芯片的高层次综合工具Vivado HLS在硬件设计中的性能,分别利用C++语言与Verilog语言设计移位寄存器,通过比较两种设计方法在不同输出位宽下,其时序、功耗、PDP以及资源使用量上的差别来评估HLS工具在硬件电路设计... 本文为了研究面向FPGA芯片的高层次综合工具Vivado HLS在硬件设计中的性能,分别利用C++语言与Verilog语言设计移位寄存器,通过比较两种设计方法在不同输出位宽下,其时序、功耗、PDP以及资源使用量上的差别来评估HLS工具在硬件电路设计上的效率与功能性。实验结果表明,虽然HLS工具综合得到的Verilog代码表现不如手工直接编写的Verilog代码,但其以高级语言作为输入的特性还是能满足让设计师在不需要掌握硬件描述语言的情况下利用FPGA实现算法加速的目的。 展开更多
关键词 FPGA 高层次综合 高级语言 Vivado hls VERILOG PDP
下载PDF
Stratus HLS工具在高性能双精度浮点乘法设计中的应用流程 被引量:1
14
作者 苑佳红 《电子技术应用》 2018年第8期20-23,30,共5页
双精度浮点乘法部件是高性能CPU的核心运算部件之一。描述了使用Cadence Stratus HLS工具设计和实现双精度浮点乘法部件,探索新设计方法学在关键路径延时调整、数据路径优化以及低功耗优化等问题的解决方法,并探讨如何将新的设计流程结... 双精度浮点乘法部件是高性能CPU的核心运算部件之一。描述了使用Cadence Stratus HLS工具设计和实现双精度浮点乘法部件,探索新设计方法学在关键路径延时调整、数据路径优化以及低功耗优化等问题的解决方法,并探讨如何将新的设计流程结合到原有项目开发中等问题。最终,高阶综合设计的RTL,在28 nm工艺下综合实现频率为2.5 GHz、面积为28 211μm^2,基本满足高性能微处理器的开发要求,增强了在项目中更加广泛地使用新设计方法学的信心。 展开更多
关键词 高阶综合 hls 双精度浮点乘法
下载PDF
基于HLS的红外遥感图像连通域快速提取方法 被引量:3
15
作者 成昊天 丁荣莉 +3 位作者 胡博文 李杰 李焱 欧阳尚荣 《上海航天(中英文)》 CSCD 2021年第4期144-151,共8页
连通域提取是红外遥感图像目标检测算法中的重要组成部分,包含在粗检算法中,能够筛去多数虚警,提升粗检效果,减少检测算法的运算量,降低系统功耗。现有的一些连通域提取算法基于CPU处理方式设计,不适合部署在现场可编程逻辑门阵列(FPGA... 连通域提取是红外遥感图像目标检测算法中的重要组成部分,包含在粗检算法中,能够筛去多数虚警,提升粗检效果,减少检测算法的运算量,降低系统功耗。现有的一些连通域提取算法基于CPU处理方式设计,不适合部署在现场可编程逻辑门阵列(FPGA)硬件端。本文采用高层次综合(HLS)的开发模式,设计了适合FPGA加速的连通域提取方法,相比传统的硬件描述语言开发方法具有更高的灵活性和效率。通过只扫描一次二值图像,将面积、范围和连通信息均记录在远小于图像的等价表中,通过简化标记规则和等价表刷新的方法,既提高了运算速度又节省了大量缓存,最终在硬件平台实测256×256的8 bit图像达到了797帧/s的处理速度。 展开更多
关键词 红外图像 遥感图像 高层次综合(hls) 现场可编程逻辑门阵列(FPGA) 连通域
下载PDF
The RTL Binding and Mapping Approach of VHDL High-Level Synthesis System HLS/BIT
16
作者 颜宗福 刘明业 《Journal of Computer Science & Technology》 SCIE EI CSCD 1996年第6期562-569,共8页
This paper describes a VHDL high-level synthesis system HLS/BIT with emphasis on its register-transfer level (RTL) binding and technology mapping subsystem. In more detail, the component instantiation mechanism and th... This paper describes a VHDL high-level synthesis system HLS/BIT with emphasis on its register-transfer level (RTL) binding and technology mapping subsystem. In more detail, the component instantiation mechanism and the knowledge-driven approach to RTL technology mapping are also presented. 展开更多
关键词 high-level synthesis RTL synthesis technology mapping
原文传递
高温抑制HL-60细胞核酸合成与集落形成具有部分可逆性 被引量:1
17
作者 陈协群 沈素芸 +2 位作者 伍柏松 胡盛惠 孙述华 《第四军医大学学报》 1992年第6期457-459,共3页
作者证实高温(42℃,60min)对人早幼粒白血病细胞(HL-60细胞)的损伤程度存在异质性,短期(24 h)液体培养能使受抑制HL-60细胞的集落存活率及~3H-TdR掺入率获得部分恢复.结果提示,高温对白血病细胞DNA合成及集落形成抑制具有部分可逆性.作... 作者证实高温(42℃,60min)对人早幼粒白血病细胞(HL-60细胞)的损伤程度存在异质性,短期(24 h)液体培养能使受抑制HL-60细胞的集落存活率及~3H-TdR掺入率获得部分恢复.结果提示,高温对白血病细胞DNA合成及集落形成抑制具有部分可逆性.作者对其临床意义及发生机理做了分析. 展开更多
关键词 DNA 白血病 骨髓移植
下载PDF
基于FPGA的永磁同步电机速度控制
18
作者 于长松 刘曰涛 +2 位作者 姜佩岑 邹大林 祝保财 《组合机床与自动化加工技术》 北大核心 2024年第4期131-134,140,共5页
针对永磁同步电机(PMSM)速度控制器中采用传统PI控制存在响应速度慢、超调量大以及容易出现积分饱和等问题,设计了采取Anti-Windup策略的速度控制器,并在现场可编辑逻辑门阵列(FPGA)中实现对PMSM的控制。首先采用高层次综合技术(HLS)对P... 针对永磁同步电机(PMSM)速度控制器中采用传统PI控制存在响应速度慢、超调量大以及容易出现积分饱和等问题,设计了采取Anti-Windup策略的速度控制器,并在现场可编辑逻辑门阵列(FPGA)中实现对PMSM的控制。首先采用高层次综合技术(HLS)对PMSM伺服控制关键模块完成建模,其次封装成IP核导入到工程中,最后下载到FPGA芯片上完成对PMSM的控制。经过与传统PI控制器实验比较,使用该速度控制方法超调量减小到4.3%,在负载处转速下降了14r/min,调节时间为0.01s,具有良好的动态性能和抗干扰性能,满足永磁同步电机伺服控制系统的应用需求。 展开更多
关键词 现场可编辑逻辑门阵列 高层次综合技术 永磁同步电机 Anti-Windup策略
下载PDF
加热抑制HL—60细胞核酸合成与集落形成具有部分可逆性
19
作者 陈协群 沈素芸 +2 位作者 伍柏松 胡盛惠 谭渭泉 《山西白血病》 1992年第2期92-94,共3页
本文证实加热(42℃,60分钟)对HL-60细胞的损伤程度存在异质性,短期(24小时)液体培养能使受抑制HL-60细胞的集落存活率及^3H—TdR掺入率获得部分恢复。结果提示,加热对白血病细胞DNA台成及集落形成抑制具有部分可逆性。作者对其临床... 本文证实加热(42℃,60分钟)对HL-60细胞的损伤程度存在异质性,短期(24小时)液体培养能使受抑制HL-60细胞的集落存活率及^3H—TdR掺入率获得部分恢复。结果提示,加热对白血病细胞DNA台成及集落形成抑制具有部分可逆性。作者对其临床意义及发生机理也进行了分析。 展开更多
关键词 hl-60细胞 集落形成 可逆性 核酸合成 抑制 加热 细胞DNA 损伤程度 液体培养 发生机理 临床意义 异质性 掺入率 TDR ^3H 存活率 白血病
下载PDF
METHOD OF HIGH-LEVEL TECHNOLOGY MAPPING BASED ON KNOWLEDGE(RULE)
20
作者 Ma Cong Wang Zuojian Liu Mingye (ASIC research Center of Beijing Institute of Technology, Beijing 100081) 《Journal of Electronics(China)》 2001年第1期24-31,共8页
This paper studies the linkage problem between the result of high-level synthesis and back-end technology, presents a method of high-level technology mapping based on knowl edge, and studies deeply all of its importan... This paper studies the linkage problem between the result of high-level synthesis and back-end technology, presents a method of high-level technology mapping based on knowl edge, and studies deeply all of its important links such as knowledge representation, knowledge utility and knowledge acquisition. It includes: (1) present a kind of expanded production about knowledge of circuit structure; (2) present a VHDL-based method to acquire knowledge of tech nology mapping; (3) provide solution control strategy and algorithm of knowledge utility; (4)present a half-automatic maintenance method, which can find redundance and contradiction of knowledge base; (5) present a practical method to embed the algorithm into knowledge system to decrease complexity of knowledge base. A system has been developed and linked with three kinds of technologies, so verified the work of this paper. 展开更多
关键词 high-level synthesis TECHNOLOGY mapping VHDL high-level TECHNOLOGY map PING KNOWLEDGE base KNOWLEDGE representation
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部