期刊文献+
共找到29篇文章
< 1 2 >
每页显示 20 50 100
Laser direct writing of Ga_(2)O_(3)/liquid metal-based flexible humidity sensors 被引量:1
1
作者 Songya Cui Yuyao Lu +5 位作者 Depeng Kong Huayu Luo Liang Peng Geng Yang Huayong Yang Kaichen Xu 《Opto-Electronic Advances》 SCIE EI CAS CSCD 2023年第7期30-39,共10页
Flexible and wearable humidity sensors play a vital role in daily point-of-care diagnosis and noncontact human-machine interactions.However,achieving a facile and high-speed fabrication approach to realizing flexible ... Flexible and wearable humidity sensors play a vital role in daily point-of-care diagnosis and noncontact human-machine interactions.However,achieving a facile and high-speed fabrication approach to realizing flexible humidity sensors remains a challenge.In this work,a wearable capacitive-type Ga_(2)O_(3)/liquid metal-based humidity sensor is demonstrated by a one-step laser direct writing technique.Owing to the photothermal effect of laser,the Ga_(2)O_(3)-wrapped liquid metal particles can be selectively sintered and converted from insulative to conductive traces with a resistivity of 0.19Ω·cm,while the untreated regions serve as active sensing layers in response to moisture changes.Under 95%relative humidity,the humidity sensor displays a highly stable performance along with rapid response and recover time.Utilizing these superior properties,the Ga_(2)O_(3)/liquid metal-based humidity sensor is able to monitor human respiration rate,as well as skin moisture of the palm under different physiological states for healthcare monitoring. 展开更多
关键词 laser direct writing liquid metal humidity sensors flexible electronics wearable sensors
下载PDF
Periodic transparent nanowires in ITO film fabricated via femtosecond laser direct writing 被引量:2
2
作者 Qilin Jiang Long Chen +8 位作者 Jukun Liu Yuchan Zhang Shian Zhang Donghai Feng Tianqing Jia Peng Zhou Qian Wang Zhenrong Sun Hongxing Xu 《Opto-Electronic Science》 2023年第1期11-22,共12页
This paper reports the fabrication of regular large-area laser-induced periodic surface structures(LIPSSs)in indium tin oxide(ITO)films via femtosecond laser direct writing focused by a cylindrical lens.The regular LI... This paper reports the fabrication of regular large-area laser-induced periodic surface structures(LIPSSs)in indium tin oxide(ITO)films via femtosecond laser direct writing focused by a cylindrical lens.The regular LIPSSs exhibited good properties as nanowires,with a resistivity almost equal to that of the initial ITO film.By changing the laser fluence,the nanowire resistances could be tuned from 15 to 73 kΩ/mm with a consistency of±10%.Furthermore,the average transmittance of the ITO films with regular LIPSSs in the range of 1200-2000 nm was improved from 21%to 60%.The regular LIPSS is promising for transparent electrodes of nano-optoelectronic devices-particularly in the near-infrared band. 展开更多
关键词 transparent nanowires periodic surface nanostructures femtosecond laser direct writing ITO film anisotropic electrical conductivity
下载PDF
Femtosecond laser direct writing of functional stimulus-responsive structures and applications
3
作者 Yuxuan Zhang Dong Wu +5 位作者 Yachao Zhang Yucheng Bian Chaowei Wang Jiawen Li Jiaru Chu Yanlei Hu 《International Journal of Extreme Manufacturing》 SCIE EI CAS CSCD 2023年第4期375-414,共40页
Diverse natural organisms possess stimulus-responsive structures to adapt to the surrounding environment.Inspired by nature,researchers have developed various smart stimulus-responsive structures with adjustable prope... Diverse natural organisms possess stimulus-responsive structures to adapt to the surrounding environment.Inspired by nature,researchers have developed various smart stimulus-responsive structures with adjustable properties and functions to address the demands of ever-changing application environments that are becoming more intricate.Among many fabrication methods for stimulus-responsive structures,femtosecond laser direct writing(FsLDW)has received increasing attention because of its high precision,simplicity,true three-dimensional machining ability,and wide applicability to almost all materials.This paper systematically outlines state-of-the-art research on stimulus-responsive structures prepared by FsLDW.Based on the introduction of femtosecond laser-matter interaction and mainstream FsLDW-based manufacturing strategies,different stimulating factors that can trigger structural responses of prepared intelligent structures,such as magnetic field,light,temperature,pH,and humidity,are emphatically summarized.Various applications of functional structures with stimuli-responsive dynamic behaviors fabricated by FsLDW,as well as the present obstacles and forthcoming development opportunities,are discussed. 展开更多
关键词 stimulus-responsive structures femtosecond laser direct writing laser–matter interaction multifunctionality
下载PDF
Bioinspired micro/nanostructured surfaces prepared by femtosecond laser direct writing for multi-functional applications 被引量:10
4
作者 Yiyuan Zhang Yunlong Jiao +5 位作者 Chuanzong Li Chao Chen Jiawen Li Yanlei Hu Dong Wu Jiaru Chu 《International Journal of Extreme Manufacturing》 2020年第3期42-62,共21页
manufacturing of biomimetic micro/nanostructures due to its specific advantages including high precision,simplicity,and compatibility for diverse materials in comparison with other methods(e.g.ion etching,sol-gel proc... manufacturing of biomimetic micro/nanostructures due to its specific advantages including high precision,simplicity,and compatibility for diverse materials in comparison with other methods(e.g.ion etching,sol-gel process,chemical vapor deposition,template method,and self-assembly).These biomimetic micro/nanostructured surfaces are of significant interest for academic and industrial research due to their wide range of potential applications,including self-cleaning surfaces,oil-water separation,and fog collection.This review presents the inherent relationship between natural organisms,fabrication methods,micro/nanostructures and their potential applications.Thereafter,we throw a list of current fabrication strategies so as to highlight the advantages of FLDW in manufacturing bioinspired microstructured surfaces.Subsequently,we summarize a variety of typical bioinspired designs(e.g.lotus leaf,pitcher plant,rice leaf,butterfly wings,etc)for diverse multifunctional micro/nanostructures through extreme femtosecond laser processing technology.Based on the principle of interfacial chemistry and geometrical optics,we discuss the potential applications of these functional micro/nanostructures and assess the underlying challenges and opportunities in the extreme fabrication of bioinspired micro/nanostructures by FLDW.This review concludes with a follow up and an outlook of femtosecond laser processing in biomimetic domains. 展开更多
关键词 femtosecond laser direct writing multiscale micro/nanostructures extreme fabrication bioinspired applications
下载PDF
Laser direct writing derived robust carbon nitride films with efficient photon-to-electron conversion for multifunctional photoelectrical applications 被引量:1
5
作者 Haotian Tan Wenping Si +7 位作者 Wei Peng Yuqing Wang Daolan Liu Liqun Wang Chongyun Jiang Lu Di Ji Liang Feng Hou 《Carbon Energy》 SCIE CAS 2022年第6期1228-1241,共14页
Carbon nitride,an emerging polymeric semiconductor,has attracted attention in research ranging from photocatalysis to photodetection due to its favorable visible light response and high physicochemical stability.For i... Carbon nitride,an emerging polymeric semiconductor,has attracted attention in research ranging from photocatalysis to photodetection due to its favorable visible light response and high physicochemical stability.For its practical device application,the fabrication of high-quality carbon nitride films on substrates is essential.However,conventional methodologies to achieve high polymerization of carbon nitride are often accompanied by its decomposition,significantly compromising the film quality.Herein,we report an ultrafast fabrication of carbon nitride film by laser direct writing(LDW).The instantaneous high temperature and pressure during LDW can efficiently boost the polymerization of carbon nitride and suppress its decomposition,resulting in high-quality carbon nitride film with excellent mechanical stability with the substrate.Due to the efficient photon-to-electron conversion,it exhibits an outstanding photoelectrochemical water splitting and optoelectronic detection capability,even under strong acid/alkaline conditions.This study thus offers a facile and efficient LDW strategy for the rapid fabrication of carbon nitride film photoelectrodes,demonstrating its great feasibility in multifunctional photoelectrical applications,including but not limited to photoelectrochemical water splitting and optoelectronic detection. 展开更多
关键词 carbon nitride laser direct writing PHOTODETECTORS photoelectrochemical water splitting
下载PDF
Laser Direct Writing of Ag Films from Solution on Si Substrate
6
作者 KeSUN CaibeiZHANG YanZHAO 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2003年第6期634-636,共3页
Pulsed Nd:YAG laser was used to irradiate Si substrate immersed in AgNO3 ethylene glycol solution to deposit Ag films along the lines scanned by laser on the substrate, which is a photo-thermal decomposing process. Th... Pulsed Nd:YAG laser was used to irradiate Si substrate immersed in AgNO3 ethylene glycol solution to deposit Ag films along the lines scanned by laser on the substrate, which is a photo-thermal decomposing process. The decomposed Ag atoms congregate and form polycrystalline Ag particles. The Ag concentration changes greatly with the total laser energyA absorbed by substrate. Transmission electron microscopy (TEM) observation shows the Ag particles are inlaid in the Si substrate. Auger electron spectrum (AES) shows that the Ag concentration decreases with the increase of the sputtering depth, and there is no oxygen element on the surface of the deposited Ag films. 展开更多
关键词 Pulsed Nd:YAG laser laser direct writing Ag deposited film Si substrate
下载PDF
All laser direct writing process for temperature sensor based on graphene and silver
7
作者 Qi Li Ruijie Bai +1 位作者 Lianbo Guo Yang Gao 《Frontiers of Optoelectronics》 EI CSCD 2024年第1期45-55,共11页
A highly sensitive temperature sensing array is prepared by all laser direct writing(LDW)method,using laser induced silver(LIS)as electrodes and laser induced graphene(LIG)as temperature sensing layer.A finite element... A highly sensitive temperature sensing array is prepared by all laser direct writing(LDW)method,using laser induced silver(LIS)as electrodes and laser induced graphene(LIG)as temperature sensing layer.A finite element analysis(FEA)photothermal model incorporating a phase transition mechanism is developed to investigate the relationship between laser parameters and LIG properties,providing guidance for laser processing parameters selection with laser power of 1–5 W and laser scanning speed(greater than 50 mm/s).The deviation of simulation and experimental data for widths and thickness of LIG are less than 5%and 9%,respectively.The electrical properties and temperature responsiveness of LIG are also studied.By changing the laser process parameters,the thickness of the LIG ablation grooves can be in the range of 30–120μm and the resistivity of LIG can be regulated within the range of 0.031–67.2Ω・m.The percentage temperature coefficient of resistance(TCR)is calculated as−0.58%/°C.Furthermore,the FEA photothermal model is studied through experiments and simulations data regarding LIS,and the average deviation between experiment and simulation is less than 5%.The LIS sensing samples have a thickness of about 14μm,an electrical resistivity of 0.0001–100Ω・m is insensitive to temperature and pressure stimuli.Moreover,for a LIS-LIG based temperature sensing array,a correction factor is introduced to compensate for the LIG temperature sensing being disturbed by pressure stimuli,the temperature measurement difference is decreased from 11.2 to 2.6°C,indicating good accuracy for temperature measurement. 展开更多
关键词 laser direct writing Temperature sensor Finite element analysis laser induced graphene laser induced silver
原文传递
Protein-based soft micro-optics fabricated by femtosecond laser direct writing 被引量:13
8
作者 Yun-Lu Sun Wen-Fei Dong +7 位作者 Li-Gang Niu Tong Jiang Dong-Xu Liu Lu Zhang Ying-Shuai Wang Qi-Dai Chen Dong-Pyo Kim Hong-Bo Sun 《Light(Science & Applications)》 SCIE EI CAS 2014年第1期342-348,共7页
In this work,we report a novel soft diffractive micro-optics,called‘microscale kinoform phase-type lens(micro-KPL)’,which is fabricated by femtosecond laser direct writing(FsLDW)using bovine serum albumin(BSA)as bui... In this work,we report a novel soft diffractive micro-optics,called‘microscale kinoform phase-type lens(micro-KPL)’,which is fabricated by femtosecond laser direct writing(FsLDW)using bovine serum albumin(BSA)as building blocks and flexible polydimethylsiloxane(PDMS)slices as substrates.By carefully optimizing various process parameters of FsLDW(e.g.,average laser power density,scanning step,exposure time on a single point and protein concentration),the as-formed protein micro-KPLs exhibit excellent surface quality,well-defined three-dimensional(3D)geometry and distinctive optical properties,even in relatively harsh operation environments(for instance,in strong acid or base).Laser shaping,imaging and other optical performances can be easily achieved.More importantly,micro-KPLs also have unique flexible and stretchable properties as well as good biocompatibility and biodegradability.Therefore,such protein hydrogel-based micro-optics may have great potential applications,such as in flexible and stretchable photonics and optics,soft integrated optical microsystems and bioimplantable devices. 展开更多
关键词 femtosecond laser direct writing MICRO-OPTICS PROTEIN SOFT
原文传递
A direct laser-synthesized magnetic metamaterial for low-frequency wideband passive microwave absorption
9
作者 Yihe Huang Yize Li +10 位作者 Kewen Pan Yixian Fang Kai Chio Chan Xiaoyu Xiao Chao Wei Kostya S Novoselov John Gallop Ling Hao Zhu Liu Zhirun Hu Lin Li 《International Journal of Extreme Manufacturing》 SCIE EI CAS CSCD 2023年第3期667-680,共14页
Microwave absorption in radar stealth technology is faced with challenges in terms of its effectiveness in low-frequency regions.Herein,we report a new laser-based method for producing an ultrawideband metamaterial-ba... Microwave absorption in radar stealth technology is faced with challenges in terms of its effectiveness in low-frequency regions.Herein,we report a new laser-based method for producing an ultrawideband metamaterial-based microwave absorber with a highly uniform sheet resistance and negative magnetic permeability at resonant frequencies,which results in a wide bandwidth in the L-to S-band.Control of the electrical sheet resistance uniformity has been achieved with less than 5%deviation at 400Ωsq^(-1)and 6%deviation at 120Ωsq^(-1),resulting in a microwave absorption coefficient between 97.2%and 97.7%within a1.56–18.3 GHz bandwidth for incident angles of 0°–40°,and there is no need for providing energy or an electrical power source during the operation.Porous N-and S-doped turbostratic graphene 2D patterns with embedded magnetic nanoparticles were produced simultaneously on a polyethylene terephthalate substrate via laser direct writing.The proposed low-frequency,wideband,wide-incident-angle,and high-electromagnetic-absorption microwave absorber can potentially be used in aviation,electromagnetic interference(EMI)suppression,and 5G applications. 展开更多
关键词 laser direct writing degrees of crystallization Fe_(3)O_(4)nanoparticles wide bandwidth low frequency
下载PDF
Laser direct writing pattern structures on AgInSbTe phase change thin film
10
作者 顿爱欢 魏劲松 干福熹 《Chinese Optics Letters》 SCIE EI CAS CSCD 2011年第8期71-74,共4页
Different pattern structures are obtained on the AglnSbTe (AIST) phase change film as induced by laser beam. Atomic force microscopy (AFM) was used to observe and analyze the different pattern structures. The AFM ... Different pattern structures are obtained on the AglnSbTe (AIST) phase change film as induced by laser beam. Atomic force microscopy (AFM) was used to observe and analyze the different pattern structures. The AFM photos clearly show the gradually changing process of pattern structures induced by different threshold effects, such as crystallization threshold, microbump threshold, melting threshold, and ablation threshold. The analysis indicates that the AIST material is very effective in the fabrication of pattern structures and can offer relevant guidance for application of the material in the future. 展开更多
关键词 AIST laser direct writing pattern structures on AgInSbTe phase change thin film AFM LINE FIGURE
原文传递
Self-limiting laser crystallization and direct writing of 2D materials
11
作者 Zabihollah Ahmadi Baha Yakupoglu +2 位作者 Nurul Azam Salah Elafandi Masoud Mahjouri-Samani 《International Journal of Extreme Manufacturing》 2019年第1期139-144,共6页
Direct growth and patterning of atomically thin two-dimensional(2D)materials on various substrates are essential steps towards enabling their potential for use in the next generation of electronic and optoelectronic d... Direct growth and patterning of atomically thin two-dimensional(2D)materials on various substrates are essential steps towards enabling their potential for use in the next generation of electronic and optoelectronic devices.The conventional gas-phase growth techniques,however,are not compatible with direct patterning processes.Similarly,the condensed-phase methods,based on metal oxide deposition and chalcogenization processes,require lengthy processing times and high temperatures.Here,a novel self-limiting laser crystallization process for direct crystallization and patterning of 2D materials is demonstrated.It takes advantage of significant differences between the optical properties of the amorphous and crystalline phases.Pulsed laser deposition is used to deposit a thin layer of stoichiometric amorphous molybdenum disulfide(MoS2)film(∼3 nm)onto the fused silica substrates.A tunable nanosecond infrared(IR)laser(1064 nm)is then employed to couple a precise amount of power and number of pulses into the amorphous materials for controlled crystallization and direct writing processes.The IR laser interaction with the amorphous layer results in fast heating,crystallization,and/or evaporation of the materials within a narrow processing window.However,reduction of the midgap and defect states in the as crystallized layers decreases the laser coupling efficiency leading to higher tolerance to process parameters.The deliberate design of such laser 2D material interactions allows the selflimiting crystallization phenomena to occur with increased quality and a much broader processing window.This unique laser processing approach allows high-quality crystallization,direct writing,patterning,and the integration of various 2D materials into future functional devices. 展开更多
关键词 2D materials direct laser writing laser crystallization
下载PDF
Laser-Assisted Reduction of Highly Conductive Circuits Based on Copper Nitrate for Flexible Printed Sensors 被引量:10
12
作者 Shi Bai Shigang Zhang +4 位作者 Weiping Zhou Delong Ma Ying Ma Pooran Joshi Anming Hu 《Nano-Micro Letters》 SCIE EI CAS 2017年第4期49-61,共13页
Stretchable electronic sensing devices are defining the path toward wearable electronics. High-performance flexible strain sensors attached on clothing or human skin are required for potential applications in the ente... Stretchable electronic sensing devices are defining the path toward wearable electronics. High-performance flexible strain sensors attached on clothing or human skin are required for potential applications in the entertainment,health monitoring, and medical care sectors. In this work,conducting copper electrodes were fabricated onpolydimethylsiloxane as sensitive stretchable microsensors by integrating laser direct writing and transfer printing approaches. The copper electrode was reduced from copper salt using laser writing rather than the general approach of printing with pre-synthesized copper or copper oxide nanoparticles. An electrical resistivity of 96 l X cm was achieved on 40-lm-thick Cu electrodes on flexible substrates. The motion sensing functionality successfully demonstrated a high sensitivity and mechanical robustness.This in situ fabrication method leads to a path toward electronic devices on flexible substrates. 展开更多
关键词 laser direct writing Copper circuit Stretchable sensor laser reduction
下载PDF
Electro-and photochemical studies of gold (Ⅲ) bromide towards a novel laser-based method of gold patterning
13
作者 Cecily Rosenbaum Matthew Murphy +10 位作者 Paul T Lawrence Curtis Sirkoch Stella Rose Schneeberg Kyle Zigner Sarah Morris Ethan Richman Chibuzo Anyanwu Eric Will Clare Wheeler Eric Reed Christopher N LaFratta 《International Journal of Extreme Manufacturing》 SCIE EI CAS 2022年第3期105-111,共7页
In this report, we demonstrate a novel technique for the microscopic patterning of gold by combining the photoreduction of Au^(Ⅲ)Br_(2)^(-)to Au^(Ⅰ)Br_(2)^(-)and the electrochemical reduction of Au^(Ⅰ)Br_(2)^(-)to ... In this report, we demonstrate a novel technique for the microscopic patterning of gold by combining the photoreduction of Au^(Ⅲ)Br_(2)^(-)to Au^(Ⅰ)Br_(2)^(-)and the electrochemical reduction of Au^(Ⅰ)Br_(2)^(-)to elemental gold in a single step within solution. While mask-based methods have been the norm for electroplating, the adoption of direct laser writing for flexible, real-time patterning has not been widespread. Through irradiation using a 405 nm laser and applying a voltage corresponding to a selective potential window specific to Au^(Ⅰ)Br_(2)^(-), we have shown that we can locally deposit elemental gold at the focal point of the laser. In addition to demonstrating the feasibility of the technique, we have collected data on the kinetics of the photoreduction reaction in ethanol and have deduced its rate law. We have confirmed the selective deposition of Au^(Ⅰ)Br_(2)^(-) within a potential window through controlled potential electrolysis experiments and through direct measurement on a quartz crystal microbalance. Finally, we have verified local deposition through scanning electron microscopy. 展开更多
关键词 PHOTOLITHOGRAPHY direct laser writing electrodeposition gold(Ⅲ)bromide PHOTOREDUCTION
下载PDF
Direct laser writing breaking diffraction barrier based on two-focus parallel peripheralphotoinhibition lithography 被引量:3
14
作者 Dazhao Zhu Liang Xu +16 位作者 Chenliang Ding Zhenyao Yang Yiwei Qiu Chun Cao Hongyang He Jiawei Chen Mengbo Tang Lanxin Zhan Xiaoyi Zhang Qiuyuan Sun Chengpeng Ma Zhen Wei Wenjie Liu Xiang Fu Cuifang Kuang Haifeng Li Xu Liu 《Advanced Photonics》 SCIE EI CAS CSCD 2022年第6期56-63,共8页
Direct laser writing(DLW)enables arbitrary three-dimensional nanofabrication.However,the diffraction limit poses a major obstacle for realizing nanometer-scale features.Furthermore,it is challenging to improve the fab... Direct laser writing(DLW)enables arbitrary three-dimensional nanofabrication.However,the diffraction limit poses a major obstacle for realizing nanometer-scale features.Furthermore,it is challenging to improve the fabrication efficiency using the currently prevalent single-focal-spot systems,which cannot perform high-throughput lithography.To overcome these challenges,a parallel peripheral-photoinhibition lithography system with a sub-40-nm two-dimensional feature size and a sub-20-nm suspended line width was developed in our study,based on two-photon polymerization DLW.The lithography efficiency of the developed system is twice that of conventional systems for both uniform and complex structures.The proposed system facilitates the realization of portable DLW with a higher resolution and throughput. 展开更多
关键词 optical fabrication parallel direct laser writing peripheral-photoinhibition diffraction barrier breaking
原文传递
Hybrid 2D–3D optical devices for integrated optics by direct laser writing 被引量:2
15
作者 Martin Schumann Tiemo Buckmann +2 位作者 Nico Gruhler Martin Wegener Wolfram Pernice 《Light(Science & Applications)》 SCIE EI CAS 2014年第1期173-181,共9页
Integrated optical chips have already been established for application in optical communication.They also offer interesting future perspectives for integrated quantum optics on a chip.At present,however,they are mostl... Integrated optical chips have already been established for application in optical communication.They also offer interesting future perspectives for integrated quantum optics on a chip.At present,however,they are mostly fabricated using essentially planar fabrication approaches like electron-beam lithography or UV optical lithography.Many further design options would arise if one had complete fabrication freedom in regard to the third dimension normal to the chip without having to give up the virtues and the know-how of existing planar fabrication technologies.As a step in this direction,we here use three-dimensional dip-in direct-laser-writing optical lithography to fabricate three-dimensional polymeric functional devices on pre-fabricated planar optical chips containing Si3N4 waveguides as well as grating couplers made by standard electron-beam lithography.The first example is a polymeric dielectric rectangular-shaped waveguide which is connected to Si3N4 waveguides and that is adiabatically twisted along its axis to achieve geometrical rotation of linear polarization on the chip.The rotator’s broadband performance at around 1550 nm wavelength is verified by polarization-dependent grating couplers.Such polarization rotation on the optical chip cannot easily be achieved by other means.The second example is a whispering-gallery-mode optical resonator connected to Si_(3)N_(4) waveguides on the chip via polymeric waveguides.By mechanically connecting the latter to the disk,we can control the coupling to the resonator and,at the same time,guarantee mechanical stability of the three-dimensional architecture on the chip. 展开更多
关键词 direct laser writing integrated optics nanophotonic circuits polarization conversion
原文传递
Three-dimensional direct laser writing of biomimetic neuron interfaces in the era of artificial intelligence:principles,materials,and applications 被引量:2
16
作者 Haoyi Yu Qiming Zhang +2 位作者 Xi Chen Haitao Luan Min Gu 《Advanced Photonics》 SCIE EI CAS CSCD 2022年第3期27-39,共13页
The creation of biomimetic neuron interfaces(BNIs)has become imperative for different research fields from neural science to artificial intelligence.BNIs are two-dimensional or three-dimensional(3D)artificial interfac... The creation of biomimetic neuron interfaces(BNIs)has become imperative for different research fields from neural science to artificial intelligence.BNIs are two-dimensional or three-dimensional(3D)artificial interfaces mimicking the geometrical and functional characteristics of biological neural networks to rebuild,understand,and improve neuronal functions.The study of BNI holds the key for curing neuron disorder diseases and creating innovative artificial neural networks(ANNs).To achieve these goals,3D direct laser writing(DLW)has proven to be a powerful method for BNI with complex geometries.However,the need for scaled-up,high speed fabrication of BNI demands the integration of DLW techniques with ANNs.ANNs,computing algorithms inspired by biological neurons,have shown their unprecedented ability to improve efficiency in data processing.The integration of ANNs and DLW techniques promises an innovative pathway for efficient fabrication of large-scale BNI and can also inspire the design and optimization of novel BNI for ANNs.This perspective reviews advances in DLW of BNI and discusses the role of ANNs in the design and fabrication of BNI. 展开更多
关键词 direct laser writing neuron interface neural tissue engineering artificial neural networks.
原文传递
High-speed, large-area and high-precision fabrication of aspheric micro-lens array based on 12-bit direct laser writing lithography 被引量:2
17
作者 Shiyi Luan Fei Peng +3 位作者 Guoxing Zheng Chengqun Gui Yi Song Sheng Liu 《Light(Advanced Manufacturing)》 2022年第4期50-60,共11页
Aspheric micro-lens array(AMLA),featured with low dispersion and diffraction-limited imaging quality,plays an important role in advanced optical imaging.Ideally,the fabrication of commercially applicable AMLAs should ... Aspheric micro-lens array(AMLA),featured with low dispersion and diffraction-limited imaging quality,plays an important role in advanced optical imaging.Ideally,the fabrication of commercially applicable AMLAs should feature low cost,high precision,large area and high speed.However,these criteria have been achieved only partially with conventional fabrication process.Herein,we demonstrate the fabrication and characterization of AMLAs based on 12-bit direct laser writing lithography,which exhibits a high fabrication speed,large area,perfect lens shape control via a three-dimensional optical proximity correction and average surface roughness lower than 6 nm.In particular,the AMLAs can be flexibly designed with customized filling factor and arbitrary off-axis operation for each single micro-lens,and the proposed pattern transfer approach with polydimethylsiloxane(PDMS)suggests a low-cost way for mass manufacturing.An auto-stereoscopic-display flexible thin film with excellent display effect has been prepared by using above technology,which exhibits a new way to provide flexible auto-stereoscopic-display at low cost.In brief,the demonstrated fabrication of AMLAs based on direct laser writing lithography reduce the complexity of AMLA fabrication while significantly increasing their performance,suggesting a new route for high-quality three-dimentional optical manufacturing towards simplified fabrication process,high precision and large scale. 展开更多
关键词 Aspheric micro-lens array Large-area fabrication Sub 6 nm roughness 12-bit direct laser writing lithography
原文传递
Direct laser writing of graphene oxide for ultra-low power consumption memristors in reservoir computing for digital recognition 被引量:2
18
作者 Min Chen Zhengfen Wan +3 位作者 Hao Dong Qinyu Chen Min Gu Qiming Zhang 《National Science Open》 2022年第3期46-58,共13页
A memristor is a promising candidate of new electronic synaptic devices for neuromorphic computing.However,conventional memristors often exhibit complex device structures,cumbersome manufacturing processes,and high en... A memristor is a promising candidate of new electronic synaptic devices for neuromorphic computing.However,conventional memristors often exhibit complex device structures,cumbersome manufacturing processes,and high energy consumption.Graphene-based materials show great potential as the building materials of memristors.With direct laser writing technology,this paper proposes a lateral memristor with reduced graphene oxide(rGO)and Pt as electrodes and graphene oxide(GO)as function material.This Pt/GO/rGO memristor with a facile lateral structure can be easily fabricated and demonstrates an ultra-low energy consumption of 200 nW.Typical synaptic behaviors are successfully emulated.Meanwhile,the Pt/GO/rGO memristor array is applied in the reservoir computing network,performing the digital recognition with a high accuracy of 95.74%.This work provides a simple and low-cost preparation method for the massive production of artificial synapses with low energy consumption,which will greatly facilitate the development of neural network computing hardware platforms. 展开更多
关键词 direct laser writing memristor array graphene oxide reservoir computing
原文传递
Two-Photon Direct Laser Writing Beyond the Diffraction Limit Using the Nanopositioning and Nanomeasuring Machine
19
作者 Laura Mohr-Weidenfeller Annika-Verena Hacker +1 位作者 Carsten Reinhardt Eberhard Manske 《Nanomanufacturing and Metrology》 2021年第3期149-155,共7页
Since the first realization of two-photon direct laser writing(DLW)in Maruo et al.(Opt Lett 22:132-134,1997),the manufacturing using direct laser writing techniques spread out in many laboratories all over the world.P... Since the first realization of two-photon direct laser writing(DLW)in Maruo et al.(Opt Lett 22:132-134,1997),the manufacturing using direct laser writing techniques spread out in many laboratories all over the world.Photosensitive materials with different material properties open a new field for micro-and nanofabrication.The achievable structuring resolution using this technique is reported to be sub-100 nm(Paz et al.in J.Laser Appl.24:042004,2012),while a smallest linewidth of 25 nm could be shown in Tan et al.(Appl Phys Lett 90:071106,2007).In our approach,the combination of DLW with the nanopositioning and nanomeasuring machine NMM-1 offers an improvement of the technique from the engineering side regarding the ultra-precise positioning(Weidenfeller et al.in Adv Fabr Technol Micro/Nano Opt Photon Ⅺ 10544:105440E,2018).One big benefit besides the high positioning resolution of 0.1 nm is offered by the positioning range of 25 mm×25 mm×5 mm(Jager et al.in Technisches Messen 67:319-323,2000;Manske et al.in Meas Sci Technol 18:520-527,2007).Thus,a trans-scale fabrication without any stitching or combination of different positioning systems is necessary.The immense synergy between the highly precise positioning and the DLW is demonstrated by the realization of resist lines and trenches whose center-to-center distance undergoes the modified diffraction limit for two-photon processes.The precise positioning accuracy enables a defined distance between illuminated lines.Hence,with a comparable huge width of the trenches of 1.655|im due to a low effective numerical aperture of 0.16,a resist line of 30 nm between two written trenches could be achieved.Although the interrelationships for achieving such narrow trenches have not yet been clarified,much smaller resist lines and trench widths are possible with this approach in the near future. 展开更多
关键词 direct laser writing Nanopositioning and nanomeasuring machine Two-photon absorption laser power controlling
原文传递
Laser Ablation and Chemical Oxidation Synergistically Induced Micro/Nano Re-entrant Structures for Super-Oleophobic Surface with Cassie State 被引量:1
20
作者 Jiaqi Chao Faze Chen +4 位作者 Lei Xia Zexin Cai Fujun Wang Yanling Tian Dawei Zhang 《Nanomanufacturing and Metrology》 EI 2023年第2期79-89,共11页
Generally,re-entrant structures are a key part of fabricating superoleophobic surfaces,and this structure appears in almost all kinds of published research articles regarding superoleophobicity.However,the application... Generally,re-entrant structures are a key part of fabricating superoleophobic surfaces,and this structure appears in almost all kinds of published research articles regarding superoleophobicity.However,the application of related fabrication methods is usually too complex and costly in real practice.In this paper,we present a simple method to generate micro-cauliflower structures,which work as re-entrant structures in microcone arrays,to promote the formation of superoleophobic surfaces.The heating process after alkali-assisted surface oxidation is the main reason for the appearance of a micro-ball structure,and the oxidation time can influence the size of the micro-ball.To the best of our knowledge,the influence of the heating process after alkali-assisted surface oxidation on the birth of the micro-ball structure is seldom researched.A low-surface-energy treatment was also analyzed in influencing the size of the re-entrant structure and its relative wettability.Droplets of 5μl of n-decane show contact angles of 155±1°on the as-prepared superoleophobic surface,and air pockets can be clearly seen underneath,indicating a stable Cassie contacting state and a promising application value in the near future. 展开更多
关键词 Superoleophobic Re-entrant structure Cassie state laser direct writing
原文传递
上一页 1 2 下一页 到第
使用帮助 返回顶部