期刊文献+
共找到8篇文章
< 1 >
每页显示 20 50 100
Seamless-merging-oriented parallel inverse lithography technology
1
作者 杨祎巍 史峥 沈珊瑚 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2009年第10期147-152,共6页
Inverse lithography technology (ILT), a promising resolution enhancement technology (RET) used in next generations of IC manufacture, has the capability to push lithography to its limit. However, the existing meth... Inverse lithography technology (ILT), a promising resolution enhancement technology (RET) used in next generations of IC manufacture, has the capability to push lithography to its limit. However, the existing methods of ILT are either time-consuming due to the large layout in a single process, or not accurate enough due to simply block merging in the parallel process. The seamless-merging-oriented parallel ILT method proposed in this paper is fast because of the parallel process; and most importantly, convergence enhancement penalty terms (CEPT) introduced in the parallel ILT optimization process take the environment into consideration as well as environmental change through target updating. This method increases the similarity of the overlapped area between guard-bands and work units, makes the merging process approach seamless and hence reduces hot-spots. The experimental results show that seamless-merging-oriented parallel ILT not only accelerates the optimization process, but also significantly improves the quality of ILT. 展开更多
关键词 lithography PARALLEL inverse lithography technology seamless merging convergence
原文传递
A new algorithm of inverse lithography technology for mask complexity reduction
2
作者 李扬环 史峥 +2 位作者 耿臻 杨祎巍 严晓浪 《Journal of Semiconductors》 EI CAS CSCD 2012年第4期129-139,共11页
A new complexity penalty term called the global wavelet penalty is introduced, which evaluates the highfrequency components of masks more profoundly by applying four distinctive Haar wavelet transforms and choosing th... A new complexity penalty term called the global wavelet penalty is introduced, which evaluates the highfrequency components of masks more profoundly by applying four distinctive Haar wavelet transforms and choosing the optimal direction on which the highest frequency components of the mask will be removed. Then, a new gradientbased inverse lithography technology (1LT) algorithm is proposed, with the computation of the global wavelet penalty as the emphasis of its first phase for mask complexity reduction. Experiments with three typical 65 nm flash ROM patterns under existing 90 nm lithographic conditions show that compared with the gradient based algorithm, which relies on the socalled local wavelet penalty, the total vertices of the three results created by the proposed algorithm can be reduced by 12.89%, 12.63% and 12.64%, respectively, while the accuracy of the lithography results remains the same. 展开更多
关键词 inverse lithography technology mask complexity complexity penalty term wavelet penalty
原文传递
Study of Inverse Lithography Approaches based on Deep Learning
3
作者 Xianqiang Zheng Xu Ma +2 位作者 Shengen Zhang Yihua Pan Gonzalo RArce 《Journal of Microelectronic Manufacturing》 2020年第3期1-7,共7页
Computational lithography(CL)has become an indispensable technology to improve imaging resolution and fidelity of deep sub-wavelength lithography.The state-of-the-art CL approaches are capable of optimizing pixel-base... Computational lithography(CL)has become an indispensable technology to improve imaging resolution and fidelity of deep sub-wavelength lithography.The state-of-the-art CL approaches are capable of optimizing pixel-based mask patterns to effectively improve the degrees of optimization freedom.However,as the growth of data volume of photomask layouts,computational complexity has become a challenging problem that prohibits the applications of advanced CL algorithms.In the past,a number of innovative methods have been developed to improve the computational efficiency of CL algorithms,such as machine learning and deep learning methods.Based on the brief introduction of optical lithography,this paper reviews some recent advances of fast CL approaches based on deep learning.At the end,this paper briefly discusses some potential developments in future work. 展开更多
关键词 Computational lithography inverse lithography technology(ILT) optical proximity correction(OPC) deep learning
下载PDF
Fast and Accurate Machine Learning Inverse Lithography Using Physics Based Feature Maps and Specially Designed DCNN
4
作者 Xuelong Shi Yan Yan +4 位作者 Tao Zhou Xueru Yu Chen Li Shoumian Chen Yuhang Zhao 《Journal of Microelectronic Manufacturing》 2020年第4期51-58,共8页
Inverse lithography technology(ILT)is intended to achieve optimal mask design to print a lithography target for a given lithography process.Full chip implementation of rigorous inverse lithography remains a challengin... Inverse lithography technology(ILT)is intended to achieve optimal mask design to print a lithography target for a given lithography process.Full chip implementation of rigorous inverse lithography remains a challenging task because of enormous computational resource requirements and long computational time.To achieve full chip ILT solution,attempts have been made by using machine learning techniques based on deep convolution neural network(DCNN).The reported input for such DCNN is the rasterized images of the lithography target;such pure geometrical input requires DCNN to possess considerable number of layers to learn the optical properties of the mask,the nonlinear imaging process,and the rigorous ILT algorithm as well.To alleviate the difficulties,we have proposed the physics based optimal feature vector design for machine learning ILT in our early report.Although physics based feature vector followed by feedforward neural network can provide the solution to machine learning ILT,the feature vector is long and it can consume considerable amount of memory resource in practical implementation.To improve the resource efficiency,we proposed a hybrid approach in this study by combining first few physics based feature maps with a specially designed DCNN structure to learn the rigorous ILT algorithm.Our results show that this approach can make machine learning ILT easy,fast and more accurate. 展开更多
关键词 Optimal feature maps inverse lithography technology(ILT) deep convolution neural network(DCNN).
下载PDF
Regularized level-set-based inverse lithography algorithm for IC mask synthesis 被引量:3
5
作者 Zhen GENG Zheng SHI +1 位作者 Xiao-lang YAN Kai-sheng LUO 《Journal of Zhejiang University-Science C(Computers and Electronics)》 SCIE EI 2013年第10期799-807,共9页
Inverse lithography technology(ILT)is one of the promising resolution enhancement techniques,as the advanced IC technology nodes still use the 193 nm light source.In ILT,optical proximity correction(OPC)is treated as ... Inverse lithography technology(ILT)is one of the promising resolution enhancement techniques,as the advanced IC technology nodes still use the 193 nm light source.In ILT,optical proximity correction(OPC)is treated as an inverse imaging problem to find the optimal solution using a set of mathematical approaches.Among all the algorithms for ILT,the level-set-based ILT(LSB-ILT)is a feasible choice with good production in practice.However,the manufacturability of the optimized mask is one of the critical issues in ILT;that is,the topology of its result is usually too complicated to manufacture.We put forward a new algorithm with high pattern fidelity called regularized LSB-ILT implemented in partially coherent illumination(PCI),which has the advantage of reducing mask complexity by suppressing the isolated irregular holes and protrusions in the edges generated in the optimization process.A new regularization term named the Laplacian term is also proposed in the regularized LSB-ILT optimization process to further reduce mask complexity in contrast with the total variation(TV)term.Experimental results show that the new algorithm with the Laplacian term can reduce the complexity of mask by over 40%compared with the ordinary LSB-ILT. 展开更多
关键词 Inverse lithography technology Complexity Level set REGULARIZATION
原文传递
SVM based layout retargeting for fast and regularized inverse lithography 被引量:3
6
作者 Kai-sheng LUO Zheng SHI +1 位作者 Xiao-lang YAN Zhen GENG 《Journal of Zhejiang University-Science C(Computers and Electronics)》 SCIE EI 2014年第5期390-400,共11页
Inverse lithography technology(ILT),also known as pixel-based optical proximity correction(PB-OPC),has shown promising capability in pushing the current 193 nm lithography to its limit.By treating the mask optimizatio... Inverse lithography technology(ILT),also known as pixel-based optical proximity correction(PB-OPC),has shown promising capability in pushing the current 193 nm lithography to its limit.By treating the mask optimization process as an inverse problem in lithography,ILT provides a more complete exploration of the solution space and better pattern fidelity than the traditional edge-based OPC.However,the existing methods of ILT are extremely time-consuming due to the slow convergence of the optimization process.To address this issue,in this paper we propose a support vector machine(SVM)based layout retargeting method for ILT,which is designed to generate a good initial input mask for the optimization process and promote the convergence speed.Supervised by optimized masks of training layouts generated by conventional ILT,SVM models are learned and used to predict the initial pixel values in the‘undefined areas’of the new layout.By this process,an initial input mask close to the final optimized mask of the new layout is generated,which reduces iterations needed in the following optimization process.Manufacturability is another critical issue in ILT;however,the mask generated by our layout retargeting method is quite irregular due to the prediction inaccuracy of the SVM models.To compensate for this drawback,a spatial filter is employed to regularize the retargeted mask for complexity reduction.We implemented our layout retargeting method with a regularized level-set based ILT(LSB-ILT)algorithm under partially coherent illumination conditions.Experimental results show that with an initial input mask generated by our layout retargeting method,the number of iterations needed in the optimization process and runtime of the whole process in ILT are reduced by 70.8%and 69.0%,respectively. 展开更多
关键词 Inverse lithography technology Optical proximity correction Layout retargeting Support vector machine
原文传递
Fast Level-Set-Based Inverse Lithography Algorithm for Process Robustness Improvement and Its Application 被引量:1
7
作者 耿臻 史峥 +2 位作者 严晓浪 罗凯升 潘伟伟 《Journal of Computer Science & Technology》 SCIE EI CSCD 2015年第3期629-638,共10页
Inverse lithography technology (ILT) is one of the promising resolution enhancement techniques (RETs), as the advanced integrated circuits (IC) technology nodes still use the 193 nm light source. Among all the a... Inverse lithography technology (ILT) is one of the promising resolution enhancement techniques (RETs), as the advanced integrated circuits (IC) technology nodes still use the 193 nm light source. Among all the algorithms for ILT, the level-set-based ILT (LSB-ILT) is a feasible choice with good production result in practice. However, existing ILT algorithms optimize masks at nominal process condition without giving sufficient attention to the process variations, and thus the optimized masks show poor performance with focus and dose variations. In this paper, we put forward a new LSB-ILT algorithm for process robustness improvement with fast convergence. In order to account for the process variations in the optimization, we adopt a new form of the cost function by adding the objective function of process variation band (PV band) to the nominal cost. We also adopt the hybrid conjugate gradient (CG) method to reduce the runtime of the algorithm. We perform experiments on ICCAD 2013 benchmarks and the results show that our algorithm outperforms the top two winners of the ICCAD 2013 contest by 6.5%. We also adopt the attenuated phase shift mask (att-PSM) in the experiment with test cases from industry. The results show that our new algorithm has a fast convergence speed and reduces the process manufacturability index (PMI) by 38.77% compared with the LSB-ILT algorithm without the consideration of PV band. 展开更多
关键词 inverse lithography technology level set process variation band process window hybrid conjugate gradient
原文传递
Influence of lateral displacement on strip shape during cold rolling 被引量:1
8
作者 Zhong-kai Ren Hong Xiao +1 位作者 Hong-biao Xie Xiao Liu 《Journal of Iron and Steel Research(International)》 SCIE EI CAS CSCD 2018年第9期892-900,共9页
The theory of metal plastic deformation is an important part of the strip shape control theories. In order to control the shape and gauge accurately during cold thin strip rolling, the mechanism of the metal lateral f... The theory of metal plastic deformation is an important part of the strip shape control theories. In order to control the shape and gauge accurately during cold thin strip rolling, the mechanism of the metal lateral flow must be revealed clearly. Therefore, the lateral displacement of thin strip was studied by the grid method. Those grids with a line thickness of 10 μm and clear boundaries were successfully manufactured on the strip surface using lithography. Then, the effects of reduction, front and back tension, and taper angle of the first intermediate roll on the metal lateral flow were studied. The strip shape was calculated with and without considering the lateral displacement; furthermore, the calculations were compared with the measured results. The results show that the calculations with considering the lateral displacement are closer to the measured results. In addition, the comparison of finite element analysis results with the experimental results indicates that the test method was reliable. 展开更多
关键词 Thin strip lithography technology Lateral displacement Strip shape Experimental research Finite element simulation Cold rolling
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部