期刊文献+
共找到21篇文章
< 1 2 >
每页显示 20 50 100
Artificial Neural Network and Fuzzy Logic Based Techniques for Numerical Modeling and Prediction of Aluminum-5%Magnesium Alloy Doped with REM Neodymium
1
作者 Anukwonke Maxwell Chukwuma Chibueze Ikechukwu Godwills +1 位作者 Cynthia C. Nwaeju Osakwe Francis Onyemachi 《International Journal of Nonferrous Metallurgy》 2024年第1期1-19,共19页
In this study, the mechanical properties of aluminum-5%magnesium doped with rare earth metal neodymium were evaluated. Fuzzy logic (FL) and artificial neural network (ANN) were used to model the mechanical properties ... In this study, the mechanical properties of aluminum-5%magnesium doped with rare earth metal neodymium were evaluated. Fuzzy logic (FL) and artificial neural network (ANN) were used to model the mechanical properties of aluminum-5%magnesium (0-0.9 wt%) neodymium. The single input (SI) to the fuzzy logic and artificial neural network models was the percentage weight of neodymium, while the multiple outputs (MO) were average grain size, ultimate tensile strength, yield strength elongation and hardness. The fuzzy logic-based model showed more accurate prediction than the artificial neutral network-based model in terms of the correlation coefficient values (R). 展开更多
关键词 Al-5%Mg Alloy NEODYMIUM Artificial Neural Network Fuzzy logic Average Grain Size and Mechanical Properties
下载PDF
Fuzzy Logic Based Handover Authentication in 5g Telecommunication Heterogeneous Networks
2
作者 J.Divakaran Arvind Chakrapani K.Srihari 《Computer Systems Science & Engineering》 SCIE EI 2023年第7期1141-1152,共12页
Under various deployment circumstances,fifth-generation(5G)telecommunications delivers improved network compound management with fast communication channels.Due to the introduction of the Internet of Things(IoT)in dat... Under various deployment circumstances,fifth-generation(5G)telecommunications delivers improved network compound management with fast communication channels.Due to the introduction of the Internet of Things(IoT)in data management,the majority of the ultra-dense network models in 5G networks frequently have decreased spectral efficiency,weak handover management,and vulnerabilities.The majority of traditional handover authentication models are seriously threatened,making them vulnerable to a variety of security attacks.The authentication of networked devices is the most important issue.Therefore,a model that incorporates the handover mechanism and authentication model must be created.This article uses a fuzzy logic model to create a handover and key management system that focuses on cloud handover management and authentication performance.In order to decrease delays in 5G networks,the fuzzy logic is built with multiple criteria that aim to reduce the number of executed handovers and target cell selection.The simulation is run to evaluate the model’s performance in terms of latency,spatial complexity,and other metrics related to authentication attack validation. 展开更多
关键词 HANDOVER AUTHENTICATION mobility management fuzzy logic LATENCY 5G IoT MATLAB 3GPP
下载PDF
A Photolithography Process Design for 5 nm Logic Process Flow 被引量:1
3
作者 Qiang Wu Yanli Li +1 位作者 Yushu Yang Yuhang Zhao 《Journal of Microelectronic Manufacturing》 2019年第4期45-55,共11页
With the introduction of EUV lithography,the photolithographic process in 5 nm logic process can be simplified to use mostly single exposure method.In a typical 5 nm logic process,the contact-poly pitch(CPP)is 44-50 n... With the introduction of EUV lithography,the photolithographic process in 5 nm logic process can be simplified to use mostly single exposure method.In a typical 5 nm logic process,the contact-poly pitch(CPP)is 44-50 nm,the minimum metal pitch(MPP)is around 30-32 nm.And the overlay budget is estimated to be 2.5 nm(on product overlay).Although the optical resolution of a 0.33NA exposure tool(such as ASML NXE3400)can reach below 32 nm pitch,stochastics in the EUV absorption in photoresists has limited its application to smaller pitches.For the CPP mentioned above,one can use 193 nm immersion lithography with Self-Aligned Double Patterning(SADP)technique to provide good image contrast(or CDU,LWR)as well as good overlay,as for the 10 and 7 nm generations.In the BEOL,however,the 30-32 pitch cannot be realized by a single EUV exposure with enough printing defect process window.If this pitch is to be done by 193 nm immersion lithography,more than 6-8 exposures are needed with very complicated overlay result.For EUV,this can be done through self-aligned LELE with both good CD and overlay control.We have done an optimization of the photolithographic process parameters for the typical metal with a self-developed aerial image simulator based on rigorous coupled wave analysis(RCWA)algorithm and the Abbe imaging routine with an EUV absorption model which describes stochastics.We have calibrated our model with wafer exposure data from several photoresists under collaboration with IMEC.As we have presented last year,to accommodate all pitches under a logic design rule,as well as to provide enough CDU for the logic device performance,in DUV lithography,a typical minimum exposure latitude(EL)for the poly and metal layers can be set at,respectively,18%and 13%.In EUV,due to the existence of stochastics,13%EL,which corresponds to an imaging contrast of 40%,seems not enough for the metal trenches,and to obtain an imaging contrast close to 100%,which yields an EL of 31.4%means that we need to relax minimum pitch to above 41 nm(conventional imaging limit for 0.33NA).This is the best imaging contrast a photolithographic process can provide to reduce LWR and stochastics.In EUV,due to the significantly smaller numerical apertures compared to DUV,the aberration impact can cause much more pronounced image registration error,in order to satisfy 2.5 nm total overlay,the aberration induced shift needs to be kept under 0.2 nm.We have also studied shadowing effect and mask 3D scattering effect and our results will be provided for discussion. 展开更多
关键词 5 nm logic Process EUV SADP self-aligned LELE RCWA stochastics mask 3D scattering
下载PDF
Key Process Approach Recommendation for 5 nm Logic Process Flow with EUV Photolithography
4
作者 Yushu Yang Yanli Li +2 位作者 Qiang Wu Jianjun Zhu Shoumian Chen 《Journal of Microelectronic Manufacturing》 2020年第1期17-22,共6页
5 nm logic process is the current leading-edge technology which is under development in world-wide leading foundries.In a typical 5 nm logic process,the Fin pitch is 22~27 nm,the contact-poly pitch(CPP)is 48?55 nm,and... 5 nm logic process is the current leading-edge technology which is under development in world-wide leading foundries.In a typical 5 nm logic process,the Fin pitch is 22~27 nm,the contact-poly pitch(CPP)is 48?55 nm,and the minimum metal pitch(MPP)is around 30~36 nm.Due to the fact that these pitches are much smaller than the resolution capability of 193 nm immersion lithography,it is also the first generation which adopts EUV photolithography technology on a large-scale where the process flow can be simplified by single exposure method from more than 10 layers.Relentless scaling brings big challenges to process integration and pushes each process module to the physical and material limit.Therefore,the success of process development will largely depend on careful balance the pros and cons to achieve both performance and yield targets.In the paper,we discussed the advantages and disadvantages of different process approaches for key process loops for 5 nm logic process flow,including dummy poly cut versus metal gate cut approaches in the metal gate loops,self-aligned contact(SAC)versus brutally aligned contact(BAC)approaches,and also introduced the self-aligned double patterning approach in the lower metal processes.Based on the above evaluation,we will provide a recommendation for module's process development. 展开更多
关键词 5nm logic Process EUV metal gate cut SAC BAC SELF-ALIGNED LELE
下载PDF
TEC-5数字逻辑与计算机组成的实验系统 被引量:6
5
作者 白中英 张杰 +1 位作者 靳秀国 杨秦 《实验技术与管理》 CAS 2007年第11期49-51,69,共4页
该文首先介绍了专利产品TEC-5实验系统的特点、配套教材与体系结构,然后总结了实践教学的经验。
关键词 TEC-5 数字逻辑 计算机组成 实验系统
下载PDF
基于PLC的IEC60870-5-103规约解析器的研究与应用 被引量:3
6
作者 张广龙 史丽萍 张颖 《电力系统及其自动化学报》 CSCD 北大核心 2007年第1期122-127,共6页
可编程序控制器PLC的通信和联网功能越来越强大,而且能适应恶劣的现场环境,具有很高的可靠性。基于此,对采用西门子S7-300PLC取代传统前置机实现IEC60870-5-103规约的通信接口的方法进行了研究和系统开发,给出了流程图和语句表程序以说... 可编程序控制器PLC的通信和联网功能越来越强大,而且能适应恶劣的现场环境,具有很高的可靠性。基于此,对采用西门子S7-300PLC取代传统前置机实现IEC60870-5-103规约的通信接口的方法进行了研究和系统开发,给出了流程图和语句表程序以说明程序功能。该系统已经应用于某矿业集团某新投产煤矿,通过PLC的以太网通信处理器接入矿井综合自动化系统,实现了井下中央变电所等某些特殊场合的无人值守。 展开更多
关键词 IEC60870—5—103规约 可编程序控制器 通信接口 CP340 标志字节
下载PDF
党领导民营经济发展壮大的历史脉络和学理逻辑
7
作者 石云鸣 姚桓 《北京行政学院学报》 北大核心 2024年第2期43-54,共12页
改革开放以来,在党领导民营经济发展壮大的历史过程中,民营经济逐渐成为我国经济社会的重要基础,成为我国经济制度的重要组成部分。三种力量共同促成了这一过程的实现:基于党对社会主义经济建设的认识不断深化形成的拉力;基于民营经济... 改革开放以来,在党领导民营经济发展壮大的历史过程中,民营经济逐渐成为我国经济社会的重要基础,成为我国经济制度的重要组成部分。三种力量共同促成了这一过程的实现:基于党对社会主义经济建设的认识不断深化形成的拉力;基于民营经济的贡献和作用范围不断扩大形成的内驱力;基于社会主义经济制度优越性不断增强形成的张力。三种力量形成党领导和发展民营经济的三条逻辑线索。同时,在这一过程中,党对社会主义经济的领导能力不断提升,社会主义经济制度对其他经济成分的包容力和融合力不断增强,民营经济对社会主义经济制度的正向作用力也不断凸显。未来,要继续促进民营经济发展壮大,充分发挥其在建设现代化经济体系中的重要作用。 展开更多
关键词 党的领导 民营经济 历史脉络 逻辑线索
下载PDF
基于5VL的含空值关系数据库的选择运算
8
作者 严丽 马宗民 《微电子学与计算机》 CSCD 北大核心 1998年第4期52-54,共3页
本文首先介绍了文献[1]给出的基于空值完全语义的五值逻辑(5VL),定义了基于5VL的比较运算和逻辑运算的运算规则,并以此为基础结出了一般条件表达式下选择运算的处理策略和实现算法。
关键词 空值 关系数据库 五值逻辑 选择运算
下载PDF
SIMATIC S5-135U PLC实施大系统数据采集和复杂程控
9
作者 朱锦生 《氯碱工业》 CAS 1999年第3期39-44,共6页
介绍离子膜碱生产过程控制中选用的SIEMENSSIMATICS5-135U可编程控制器的硬件配置及软件编制。并举例说明编程的步骤、技巧及设计思想。
关键词 逻辑程序控制 结构化编程 标准化程序块 编址 STEP5语言 顺序调用
下载PDF
五育融合的逻辑理路、问题审视及解决策略——基于整体主义视角的分析 被引量:2
10
作者 胡红珍 《现代基础教育研究》 2023年第3期40-44,共5页
中华人民共和国成立后,“德智体美劳全面发展”的育人目标逐渐成为共识,并在五育并举的基础上走向融合。新时代,学校应深化全面发展的教育目标,提供综合全面的育人内容,回归完整性的育人路径。借助整体主义审视当下五育融合的问题,发现... 中华人民共和国成立后,“德智体美劳全面发展”的育人目标逐渐成为共识,并在五育并举的基础上走向融合。新时代,学校应深化全面发展的教育目标,提供综合全面的育人内容,回归完整性的育人路径。借助整体主义审视当下五育融合的问题,发现五育整体性功能有待增强,五育之间的综合渗透在实践中有待提效,五育共生的文化有待深化。以整体主义作为五育融合问题解决的视点,需要树立系统性设计意识、协同多方力量并厘清五育融合的现实情境,以此探寻五育融合问题的解决策略。 展开更多
关键词 五育融合 整体主义 逻辑理路 问题审视 解决策略
下载PDF
支持站端五防逻辑校核的调控防误一体化系统
11
作者 王杰 潘雄 +4 位作者 张亚楠 孟庆凯 乔亚军 寇鹏飞 吴赵骁 《电力信息与通信技术》 2023年第12期67-72,共6页
随着无人/少人值守变电站及主站程序化操作模式的推广,调度中心的远方遥控操作任务趋于复杂,对主站程序化操作的防误校核提出了更高要求。文章结合调控与站端双重防误模型、通信通道改造与数据采集、基于典型间隔的五防逻辑生成与校验模... 随着无人/少人值守变电站及主站程序化操作模式的推广,调度中心的远方遥控操作任务趋于复杂,对主站程序化操作的防误校核提出了更高要求。文章结合调控与站端双重防误模型、通信通道改造与数据采集、基于典型间隔的五防逻辑生成与校验模型,开发了一套支持站端五防逻辑校核的调控防误一体化系统。该系统已经成功应用于某电网,可实现主子站一体化多层次防误闭锁。实践结果表明,相较于其他技术路线,该系统防误更加专业、全面,可助力数字电网建设。 展开更多
关键词 防误系统 远方操作 五防逻辑 防误逻辑校核 IEC60870-5-104
下载PDF
SHLR在固网智能化改造中应用的探讨 被引量:3
12
作者 苏文莉 韦泉 李秉智 《重庆邮电大学学报(自然科学版)》 2006年第z1期116-119,共4页
传统固网在提供新业务方面存在着许多无法克服的问题,固网智能化为传统固网为用户提供丰富业务创造了条件,SHLR技术在固网智能化改造中具有不可忽略的作用。阐述了固网智能化改造中,SHLR引入的背景, SHLR的基本功能及其在固网电信业务... 传统固网在提供新业务方面存在着许多无法克服的问题,固网智能化为传统固网为用户提供丰富业务创造了条件,SHLR技术在固网智能化改造中具有不可忽略的作用。阐述了固网智能化改造中,SHLR引入的背景, SHLR的基本功能及其在固网电信业务中的应用思路、组网方案和对现网的影响,最后以某地固网改造为例,详细探讨了如何通过在现有固定电话网中引入SHLR来进行固网智能化改造。 展开更多
关键词 固网 固网智能化 逻辑号码 物理号码 智能用户数据库
下载PDF
基于模糊逻辑的Agent社会信用评价模型 被引量:6
13
作者 胡斌 梁锡坤 高济 《浙江大学学报(工学版)》 EI CAS CSCD 北大核心 2008年第5期725-730,784,共7页
针对现有Agent社会中交易信用评价模型过于简单且灵活性不够,而社会、经济领域评价模型计算量过大、难以直接应用等问题,结合评价过程和评价结果模糊性的特点,设计了一种基于模糊逻辑的信用评价模型.模型为每个交易影响因素建立论域以... 针对现有Agent社会中交易信用评价模型过于简单且灵活性不够,而社会、经济领域评价模型计算量过大、难以直接应用等问题,结合评价过程和评价结果模糊性的特点,设计了一种基于模糊逻辑的信用评价模型.模型为每个交易影响因素建立论域以及论域上的模糊集,以交易数据作为输入,通过隶属函数得到各影响因素的模糊评价,在此基础上分别进行一次、多次和综合交易信用评价,从而得到客观的交易信用评价结果.模型根据Agent主观和客观信用评价结果推理得到最终信用评价结果.结合实例说明了该方法的可操作性,并将该模型应用于社区/联邦式的政策导向型Agent社会模型SASA5中,为Agent商务交往提供了关键技术支持. 展开更多
关键词 SASA5 Agent社会 模糊逻辑 信用 信用评价
下载PDF
基于可识别不同价态铁离子的多控荧光开关及分子逻辑门的构建 被引量:2
14
作者 吴婷 常琳 +2 位作者 方碧云 刘敏 陈芳 《分析科学学报》 CAS CSCD 北大核心 2013年第1期21-24,共4页
本文研究了5-磺基水杨酸(SSA)与多种金属离子的相互作用的荧光光谱,发现Fe3+可以选择性猝灭SSA的荧光,而其他金属离子如Ca2+、K+、Cr3+、Ni 2+Mn2+、Pb2+、Cu2+、Zn2+、Co2+以及Fe2+均不能猝灭SSA的荧光。同时发现,加入EDTA竞争Fe3+或... 本文研究了5-磺基水杨酸(SSA)与多种金属离子的相互作用的荧光光谱,发现Fe3+可以选择性猝灭SSA的荧光,而其他金属离子如Ca2+、K+、Cr3+、Ni 2+Mn2+、Pb2+、Cu2+、Zn2+、Co2+以及Fe2+均不能猝灭SSA的荧光。同时发现,加入EDTA竞争Fe3+或加入盐酸羟胺还原Fe3+均可以使SSA的荧光恢复。可以通过质子(H+/OH-)、配位(Fe3+/EDTA)和化学氧化还原(盐酸羟胺/K2S2O8)三种方式对其荧光进行可逆调控。在此基础上,分别以SSA和SSA-Fe3+体系作为起始状态,构建了两化学输入的NOR、OR和INHIBIT分子逻辑门。 展开更多
关键词 荧光开关 分子逻辑门 5-磺基水杨酸
下载PDF
应用于频率合成器的宽分频比CMOS可编程分频器设计 被引量:5
15
作者 鞠英 文光俊 杨拥军 《现代电子技术》 2011年第4期162-165,共4页
提出一种应用于射频频率合成器的宽分频比可编程分频器设计。该分频器采用脉冲吞吐结构,可编程计数器和吞脉冲计数器都采用改进的CMOS源极耦合(SCL)逻辑结构的模拟电路实现,相对于采用数字电路实现降低了电路的噪声和减少了版图面积... 提出一种应用于射频频率合成器的宽分频比可编程分频器设计。该分频器采用脉冲吞吐结构,可编程计数器和吞脉冲计数器都采用改进的CMOS源极耦合(SCL)逻辑结构的模拟电路实现,相对于采用数字电路实现降低了电路的噪声和减少了版图面积。同时,对可编程分频器中的检测和置数逻辑做了改进,提高分频器的工作频率及稳定性。最后,采用TSMC的0.13μm CMOS工艺,利用Cadence Spectre工具进行仿真,在4.5 GHz频率下,该分频器可实现200515的分频比,整个功耗不超过19 mW,版图面积为106μm×187μm。 展开更多
关键词 可编程分频器 吞脉冲结构 4/5预分频器 检测和置数逻辑
下载PDF
Research and practice of intelligent coal mine technology systems in China 被引量:10
16
作者 Guofa Wang Huaiwei Ren +4 位作者 Guorui Zhao Desheng Zhang Zhiguo Wen Lingyu Meng Shixin Gong 《International Journal of Coal Science & Technology》 EI CAS CSCD 2022年第2期19-35,共17页
This study considered the role of coal as China’s basic energy source and examines the development of the coal industry.We focused on the intelligent development of coal mines,and introduced the“Chinese mode”of int... This study considered the role of coal as China’s basic energy source and examines the development of the coal industry.We focused on the intelligent development of coal mines,and introduced the“Chinese mode”of intelligent mining in underground coal mines,which uses complete sets of technical equipment to propose classifcation and grading standards.In view of the basic characteristics and technical requirements of intelligent coal mine systems,we established a digital logic model and propose an information entity and knowledge map construction method.This involves an active information push strategy based on a knowledge demand model and an intelligent portfolio modeling and distribution method for collaborative control of coal mines.The top-level architecture of 5G+intelligent coal mine systems combines intelligent applications such as autonomous intelligent mining,human–machine collaborative rapid tunneling,unmanned auxiliary transportation,closed-loop safety control,lean collaborative operation,and intelligent ecology.Progress in intelligent mining technology was described in terms of a dynamic modifed geological model,underground 5G network and positioning technology,intelligent control of the mining height and straightness of the longwall working face,and intelligent mining equipment.The development of intelligent coal mines was analyzed in terms of its imbalances,bottlenecks,and the compatibility of large-scale systems.Implementation ideas for promoting the development of intelligent coal mines were proposed,such as establishing construction standards and technical specifcations,implementing classifcation and grading standards according to mining policy,accelerating key technology research,and building a new management and control model. 展开更多
关键词 Intelligent coal mine Digital logic model 5G+intelligent coal mine Top-level architecture Application system
下载PDF
ROV搭载吸力泵安装大型吸力锚方案设计与实践 被引量:3
17
作者 马超 《中国海上油气》 CAS CSCD 北大核心 2017年第5期161-165,共5页
以珠江口盆地番禺4-2/5-1油田项目为目标,基于ROV(遥控潜水器)搭载吸力泵安装大型吸力锚的特点,对吸力锚顶部布置、吸力锚阀门设计、吸力泵选型及接口设计、吸力锚装船固定和安装索具设计等进行了研究,提出了吸力锚安装工艺流程及相关... 以珠江口盆地番禺4-2/5-1油田项目为目标,基于ROV(遥控潜水器)搭载吸力泵安装大型吸力锚的特点,对吸力锚顶部布置、吸力锚阀门设计、吸力泵选型及接口设计、吸力锚装船固定和安装索具设计等进行了研究,提出了吸力锚安装工艺流程及相关问题处理方案。现场实践效果表明,ROV搭载吸力泵模式安装大型吸力锚技术与国外已有的单独安装吸力锚案例相比节省了在水下连接锚链和吸力锚的工序和时间,达到了世界同类工程技术的领先水平,为未来同类型吸力锚的水下安装提供了有效的设计和安装经验。 展开更多
关键词 ROV搭载吸力泵 大型吸力锚安装:方案设计 工艺流程 番禺4-2/5-1油田
下载PDF
Optical-switched proton logic gate:Indocyanine green decorated HSB-W5 MOFs nanosheets
18
作者 Shuaikang Fan Shilin Wang +5 位作者 Xiaobin Wang Xinyi Wan Zhou Fang Xiaodong Pi Zhizhen Ye Xinsheng Peng 《Science China Materials》 SCIE EI CAS CSCD 2022年第4期1076-1086,共11页
Proton conduction controlled by light is important for advanced applications in sensors and information processing devices.We herein report a metal-organic framework(MOF)thin film by introducing indocyanine green dye ... Proton conduction controlled by light is important for advanced applications in sensors and information processing devices.We herein report a metal-organic framework(MOF)thin film by introducing indocyanine green dye into HSB-W5(HSB=hydrogenated Schiff base)matrix(ICG@HSB-W5)to construct a series of basic logic gates(NOT,NAND,and NOR)on account of its good photothermal conversion ability and photo-controlled proton conductivity.The proton conductivity of ICG@HSB-W5 thin film is 25 times higher than that of the pristine HSB-W5 thin film and responses efficiently to lasers.In addition,the change of proton conductivity is very large with an ON/OFF ratio above1000 and enough to be distinguished to build logic gates.In this logic gate system,808-and 405-nm lasers are used as inputs and the proton conductivity is used as output signal with different thresholds.The electrical output signal is convenient and available to be received and detected.It offers a new route for the design of molecular logic gates based on MOF and facilitates the construction of proton-based logic gate or more complicated logic devices. 展开更多
关键词 HSB-W5 MOF proton conductivity indocyanine green logic gate photothermal effect
原文传递
细胞分裂周期相关蛋白5在食管鳞状细胞癌中的表达及其临床意义 被引量:1
19
作者 蒋浩 周杰 +2 位作者 于跃 钱龙 卢其香 《中国临床研究》 CAS 2020年第11期1454-1457,1472,共5页
目的探讨细胞分裂周期相关蛋白5(CDCA5)在食管鳞状细胞癌(食管鳞癌)组织中的表达情况,及其与患者临床、病理特征和预后的关系。方法回顾性分析2013年1月至2017年12月胸外科行根治性手术治疗的食管鳞癌患者247例的临床资料,采用免疫组织... 目的探讨细胞分裂周期相关蛋白5(CDCA5)在食管鳞状细胞癌(食管鳞癌)组织中的表达情况,及其与患者临床、病理特征和预后的关系。方法回顾性分析2013年1月至2017年12月胸外科行根治性手术治疗的食管鳞癌患者247例的临床资料,采用免疫组织化学法对食管鳞癌组织及配对的癌旁组织中CDCA5的表达进行检测,并将其表达与食管鳞癌患者的临床、病理特征和预后进行统计分析。结果CDCA5主要表达于肿瘤细胞的胞核内,其在食管鳞癌组织中的阳性表达率高于配对的癌旁组织(43.3%vs 8.5%,χ^2=77.989,P=0.000)。食管鳞癌组织CDCA5的阳性表达与患者性别(P=0.048)、肿瘤T分期(P=0.000)、淋巴结转移(P=0.006)密切相关。单因素生存分析显示,CDCA5阳性表达者5年总体生存率为15.8%,低于阴性表达患者的41.2%(P=0.000)。Cox多因素回归分析显示,CDCA5阳性表达、T分期高、N分期高是影响食管鳞癌患者预后的独立风险因素(P<0.05)。结论CDCA5在食管鳞癌组织中特异性高表达,可能可作为食管鳞癌患者预后的标志物。 展开更多
关键词 细胞分裂周期相关蛋白5 食管肿瘤 食管鳞状细胞癌 病理特征 预后
原文传递
A new FPGA with 4/5-input LUT and optimized carry chain
20
作者 毛志东 陈利光 +1 位作者 王元 来金梅 《Journal of Semiconductors》 EI CAS CSCD 2012年第7期113-120,共8页
A new LUT and carry structure embedded in the configurable logic block of an FPGA is proposed. The LUT is designed to support both 4-input and 5-input structures, which can be configured by users according to their ne... A new LUT and carry structure embedded in the configurable logic block of an FPGA is proposed. The LUT is designed to support both 4-input and 5-input structures, which can be configured by users according to their needs without increasing interconnect resources. We also develop a new carry chain structure with an optimized critical path. Finally a newly designed configurable scan-chain is inserted. The circuit is fabricated in 0.13μm 1P8M 1.2/2.5/3.3 V logic CMOS process. The test results show a correct function of 4/5-input LUT and scan- chain, and a speedup in carry performance of nearly 3 times over current architecture in the same technology at the cost of an increase in total area of about 72.5%. Our results also show that the logic utilization of this work is better than that of a Virtex lI/Virtex 4/Virtex 5/Virtex 6/Virtex 7 FPGA when implemented using only 4-LUT and better than that of a Virtex lI/Virtex 4 FPGA when implemented using only 5-LUT. 展开更多
关键词 FPGA configurable logic block 4/5-input LUT carry chain optimization scan-chain
原文传递
上一页 1 2 下一页 到第
使用帮助 返回顶部