期刊文献+
共找到29篇文章
< 1 2 >
每页显示 20 50 100
Low-noise,low-power-consumption seafloor vector magnetometer
1
作者 Xiaochen LI Xianhu LUO +3 位作者 Ming DENG Ning QIU Zhen SUN Kai CHEN 《Journal of Oceanology and Limnology》 SCIE CAS CSCD 2023年第2期804-815,共12页
The seafloor vector magnetometer is an effective tool for marine geomagnetic surveys and seafloor magnetotelluric(MT)detection.However,the noise,power consumption,cost,and volume characteristics of existing seafloor v... The seafloor vector magnetometer is an effective tool for marine geomagnetic surveys and seafloor magnetotelluric(MT)detection.However,the noise,power consumption,cost,and volume characteristics of existing seafloor vector magnetometers are insufficient for practical use.Therefore,a low-noise,low-power-consumption seafloor vector magnetometer that can be used for data acquisition of deep-ocean geomagnetic vector components is developed and presented.A seafloor vector magnetometer mainly consists of a fluxgate sensor,data acquisition module,acoustic release module,glass sphere,frame,burn-wire release,and anchor.A new low-noise data acquisition module and a fluxgate sensor greatly reduce power consumption.Furthermore,compact size is achieved by integrating an acoustic telemetry module and replacing the acoustic release with an external burn-wire release.The new design and magnetometer characteristics reduce the volume of the instrument and the cost of hardware considerably,thereby improving the integrity and deployment efficiency of the equipment.Theoretically,it can operate for 90 days underwater at a maximum depth of 6000 m.The seafloor vector magnetometer was tested in the South China Sea and the Philippine Sea and obtained high-quality geomagnetic data.The deep-water environment facilitates magnetic field data measurements,and the magnetometer has an approximate noise level of 10 pT/rt(Hz)@1 Hz,a peak-to-peak value error of 0.2 nT,and approximate power consumption of 200 mW.The fluxgate sensor can measure the magnetic field in the lower frequency band and realize geomagnetic field measurements over prolonged periods. 展开更多
关键词 seafloor vector magnetometer low noise low power consumption
下载PDF
Low power consumption 4-channel variable optical attenuator array based on planar lightwave circuit technique 被引量:2
2
作者 任梅珍 张家顺 +6 位作者 安俊明 王玥 王亮亮 李建光 吴远大 尹小杰 胡雄伟 《Chinese Physics B》 SCIE EI CAS CSCD 2017年第7期188-193,共6页
The power consumption of a variable optical attenuator(VOA) array based on a silica planar lightwave circuit was investigated. The thermal field profile of the device was optimized using the finite-element analysis.... The power consumption of a variable optical attenuator(VOA) array based on a silica planar lightwave circuit was investigated. The thermal field profile of the device was optimized using the finite-element analysis. The simulation results showed that the power consumption reduces as the depth of the heat-insulating grooves is deeper, the up-cladding is thinner,the down-cladding is thicker, and the width of the cladding ridge is narrower. The materials component and thickness of the electrodes were also optimized to guarantee the driving voltage under 5 V. The power consumption was successfully reduced to as low as 155 mW at an attenuation of 30 dB in the experiment. 展开更多
关键词 variable optical attenuator planar lightwave circuit low power consumption thermal simulation
下载PDF
Manganese-Based Catalysts for Indoor Volatile Organic Compounds Degradation with Low Energy Consumption and High Efficiency
3
作者 Yanbo Li Shuhe Han +1 位作者 Liping Zhang Yifu Yu 《Transactions of Tianjin University》 EI CAS 2022年第1期53-66,共14页
With the development of industrialization,the emission of volatile organic compounds(VOCs)to atmosphere causes serious environmental problems and the treatment of VOCs needs to consume a lot of energy.Moreover,indoor ... With the development of industrialization,the emission of volatile organic compounds(VOCs)to atmosphere causes serious environmental problems and the treatment of VOCs needs to consume a lot of energy.Moreover,indoor VOCs are seriously harmful to human health.Thus,there is an urgent requirement for the development of indoor VOCs treatment technologies.Catalytic degradation of VOCs,as a low energy consumption,high efficiency,and easy to achieve manner,has been widely studied in related fields.As a kind of transition metal catalyst,manganese-based catalysts have attracted a lot of attention in the catalytic degradation of VOCs because of their unique advantages including high efficiency,low cost,and excellent stability.This paper reviews the state-of-the-art progress of manganese-based catalysts for VOCs catalytic degradation.We introduce the thermocatalytic,photocatalytic and photo-thermocatalytic degradation of VOCs on manganese-based catalysts in this paper.The optimization of manganese-based catalysts by means of structural design,decorating modification and defect engineering is discussed. 展开更多
关键词 VOCs degradation Manganese-based catalysts Catalysis low energy consumption
下载PDF
Study of a GaAs MESFET Model with Ultra-Low Power Consumption
4
作者 Wang Wenqi Wang Rongguang Chen Baolin Wang Tong (School of Communication and Information Engineering) 《Advances in Manufacturing》 SCIE CAS 1998年第3期43-47,共5页
A model of enhancement mode GaAs MESFET (EFET) for low power consumption and low noise applications has been obtained by using a small signal equivalent circuit whose component values are derived from the physical p... A model of enhancement mode GaAs MESFET (EFET) for low power consumption and low noise applications has been obtained by using a small signal equivalent circuit whose component values are derived from the physical parameters and the bias condition. The dependence of the RF performance and DC power consumption on physical, material and technological parameters of EFET is also studied. The optimum range of the physical parameters is given which is useful for the design of active device of ultra low power consumption MMIC. 展开更多
关键词 EFET ultra low power consumption
下载PDF
Industrialization,Efficiency and Social Housing:Sustainable Prefabrication for the Colombian Social and Cultural Context
5
作者 Alejandro Restrepo Montoya 《Journal of Civil Engineering and Architecture》 2024年第2期92-99,共8页
This research aims to generate processes of industrialization and qualification of social housing in Colombia through prefabrication,low energy consumption and high environmental quality to reduce the current deficit ... This research aims to generate processes of industrialization and qualification of social housing in Colombia through prefabrication,low energy consumption and high environmental quality to reduce the current deficit and improve the quality of life in communities with fewer economic resources.Modular coordination and the use of materials with thermal behaviors,appropriate to the country’s climatic characteristics,reduce production costs,avoid waste and improve the architectural and environmental quality of social housing.Passive cooling strategies,such as controlling solar incursion and generating natural cross ventilation eliminate energy consumption and allow reaching desired standards of comfort.In a context in which traditional construction systems have still not resolved the social housing deficit in Colombia and which generate high costs for energy consumption in the search for thermal comfort,industrialized and efficient construction with high environmental quality provides solutions according to the economic,geographic,social and cultural context in Colombia. 展开更多
关键词 EFFICIENCY INDUSTRIALIZATION social housing SUSTAINABILITY low energy consumption
下载PDF
A Study of Efficient Power Consumption Wireless Communication Techniques/ Modules for Internet of Things (IoT) Applications 被引量:2
6
作者 Mahmoud Shuker Mahmoud Auday A. H. Mohamad 《Advances in Internet of Things》 2016年第2期19-29,共11页
A study of wireless technologies for IoT applications in terms of power consumption has been presented in this paper. The study focuses on the importance of using low power wireless techniques and modules in IoT appli... A study of wireless technologies for IoT applications in terms of power consumption has been presented in this paper. The study focuses on the importance of using low power wireless techniques and modules in IoT applications by introducing a comparative between different low power wireless communication techniques such as ZigBee, Low Power Wi-Fi, 6LowPAN, LPWA and their modules to conserve power and longing the life for the IoT network sensors. The approach of the study is in term of protocol used and the particular module that achieve that protocol. The candidate protocols are classified according to the range of connectivity between sensor nodes. For short ranges connectivity the candidate protocols are ZigBee, 6LoWPAN and low power Wi-Fi. For long connectivity the candidate is LoRaWAN protocol. The results of the study demonstrate that the choice of module for each protocol plays a vital role in battery life due to the difference of power consumption for each module/protocol. So, the evaluation of protocols with each other depends on the module used. 展开更多
关键词 IOT Wireless Sensor Networks low Power Wireless Protocols Wireless low Power consumption Modules
下载PDF
Low-energy Mountain Transportation System with PRT Rail Transit Technology
7
作者 SUN Shuai WANG Biao 《Journal of Landscape Research》 2020年第3期15-17,26,共4页
The PRT (Personal Rapid Transit) refers to a traffic system in which small vehicles automatically travel on a dedicated rail network or road network.It is a branch of monorail traffic and dedicated road traffic.It can... The PRT (Personal Rapid Transit) refers to a traffic system in which small vehicles automatically travel on a dedicated rail network or road network.It is a branch of monorail traffic and dedicated road traffic.It can change the situation of high energy consumption of traditional mountain transportation.It can reduce the amount of machinery used in the construction process to reduce carbon emissions.It is completely powered by electricity and reduces the friction of the cableway to reduce energy consumption.Its construction process uses small amount of traditional building materials such as concrete and steel.It has little damage to the ecological environment of the mountain,and can not damage the carbon sequestration ability of the plant community.It could serve as a means of transporting goods over long distance,reducing the need for big trucks and thus reducing the consumption of fossil fuels. 展开更多
关键词 PRT transportation system Mountain area low energy consumption
下载PDF
One-step solvothermal synthesis of indium oxide as liquefied petroleum gas sensor
8
作者 徐甲强 王晓华 沈嘉年 《Journal of Shanghai University(English Edition)》 CAS 2007年第4期407-410,共4页
A liquefied petroleum gas (LPG) sensor with high selectivity, sensitivity and low power consumption has been developed based on indium oxide with very low resistance. Nanocrystalline In203 gas sensing materials were... A liquefied petroleum gas (LPG) sensor with high selectivity, sensitivity and low power consumption has been developed based on indium oxide with very low resistance. Nanocrystalline In203 gas sensing materials were directly synthesized through a one-step controllable solvothermal process at 210 ℃ for 24 h, using InCI3.4H2O as the starting material, cetyltrimethyl ammonium bromide (CTAB) as additive and ethanol as the solvent. The obtained samples were characterized by X-ray diffraction (XRD), and transmission electron microscopy (TEM). The results showed that indium oxide takes on uniform cubic shape with range size of 10~30 nm and fine dispersivity. Gas sensitivity was measured in a mixing static gas. The results indicated that 3.0 V is the best working voltage of the sensor to LPG. Sensitivity is 12.6. The response-time and recovery-time are 3 s and 10 s respectively. Power consumption is only around 200 mW. 展开更多
关键词 solvothermal process In203 gas sensor low power consumption liquefied petroleum gas (LPG).
下载PDF
An Improved Wireless Sensor Network Routing Algorithm
9
作者 Shengmei Luo Xue Li +1 位作者 Yiai Jin Zhixin Sun 《ZTE Communications》 2015年第3期51-56,共6页
High performance with low power consumption is an essential factor in wireless sensor networks (WSN). In order to address the issue on the lifetime and the consumption of nodes in WSNs, an improved ad hoc on-demand ... High performance with low power consumption is an essential factor in wireless sensor networks (WSN). In order to address the issue on the lifetime and the consumption of nodes in WSNs, an improved ad hoc on-demand distance vector routing (IAODV) algorithm is proposed based on AODV and LAR protocols. This algorithm is a modified on-demand routing algorithm that limits data forwarding in the searching domain, and then chooses the route on basis of hop count and power consumption. The simulation results show that the algorithm can effectively reduce power consumption as well as prolong the network lifetime. 展开更多
关键词 wireless sensor network low power consumption LIFETIME AODV LAR
下载PDF
Design of transit-time difference ultrasonic heat meter based on TDC-GP21
10
作者 郭银景 刘治文 +1 位作者 赵媛 郭洪瑾 《Journal of Measurement Science and Instrumentation》 CAS 2012年第1期14-17,共4页
According to the transit-time difference measurement method,we proposed a design scheme of ultrasonic heat meter based on TDC-GP21.The measurement unit TDC-GP21 mainly completes transit-time measurement and inlet,outl... According to the transit-time difference measurement method,we proposed a design scheme of ultrasonic heat meter based on TDC-GP21.The measurement unit TDC-GP21 mainly completes transit-time measurement and inlet,outlet temperature measurement functions.Control unit and data processing unit based on MSP430F4152 of TI corporation complete functions including peripherals control,data analysis,temperature compensation algorithm,flow pattern compensation algorithm and low power consumption control.The design meets the Town Construction Professional Standard CJ 128-2007,and furthermore,some performances can be improved. 展开更多
关键词 TDC-GP21 transit-time difference MSP430F4152 low power consumption heat meter
下载PDF
Design of Sewage Treatment Device Based on Cell Membrane Principle
11
作者 Yudie CAO Di WANG Yilong HOU 《Agricultural Biotechnology》 CAS 2022年第2期91-92,共2页
Based on the principle of selective permeability of cell membrane,a new urban sewage treatment device and urban sewage recycling system are designed.The new urban sewage treatment device consists of 10 parts,namely:in... Based on the principle of selective permeability of cell membrane,a new urban sewage treatment device and urban sewage recycling system are designed.The new urban sewage treatment device consists of 10 parts,namely:inlet pipe,secondary filter screen,tertiary rectifier,gravity separation chamber,floating material collection chamber,sewage shunt pipes,cell membrane filtration chamber,cell membrane purification device,sediment collection chamber and purified water collection chamber.The urban sewage recycling system consists of 6 parts,namely:urban sewage collection device,filtration and sedimentation separation device,floating matter collection device,cell membrane sewage purification device,sediment collection device and urban water pool. 展开更多
关键词 Cell membrane Sewage treatment low energy consumption
下载PDF
An Overview of the Miniaturization and Endurance for Wearable Devices
12
作者 Zhoulei Cao Qijun Wen +2 位作者 Xiaoliang Wang Qing Yang Frank Jiang 《Journal on Internet of Things》 2021年第1期11-17,共7页
The miniaturization and endurance of wearable devices have been the research direction for a long time.With the development of nanotechnology and the emergence of microelectronics products,people have explored many ne... The miniaturization and endurance of wearable devices have been the research direction for a long time.With the development of nanotechnology and the emergence of microelectronics products,people have explored many new strategies that may be applied to wearable devices.In this overview,we will summarize the recent research of wearable devices in these two directions,and summarize some available related technologies. 展开更多
关键词 Wearable equipment miniaturized equipment body sensor network flexible antenna low power consumption micro generator
下载PDF
A multi-mode low ripple charge pump with active regulation 被引量:1
13
作者 叶强 来新泉 +3 位作者 许录平 王辉 曾华丽 陈富吉 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2009年第12期87-92,共6页
In order to improve efficiency and reduce the output ripple, a novel multi-mode charge pump is presented. The proposed charge pump includes dual-loop regulation topology-skip and linear modes. It consumes low quiescen... In order to improve efficiency and reduce the output ripple, a novel multi-mode charge pump is presented. The proposed charge pump includes dual-loop regulation topology-skip and linear modes. It consumes low quiescent current in skip mode for light loads, and produces low ripple in linear mode for heavy loads, which closes the gap between linear mode and skip mode with active regulation; a multi-mode charge pump employing the technique has been implemented in the UMC 0.6-μm-BCD process. The results indicate that the charge pump works well and effectively; it has low ripple with special regulation, and minimizes the size of the capacitance, then decreases the area of the PCB board. The adjustable output of the positive charge pump is 10-30 V, and the maximum output ripple is 100 mV when the load current is 200 mA. The line regulation is 0.2%/V, and load regulation is 0.075%. 展开更多
关键词 charge pump MULTI-MODE low ripple low consumption
原文传递
用于低能耗人工视觉系统的具有互补光调制和低功耗的双极突触有机/无机异质结晶体管
14
作者 刘常飞 高昌松 +5 位作者 黄伟龙 连敏锐 许晨晖 陈惠鹏 郭太良 胡文平 《Science China Materials》 SCIE EI CAS CSCD 2024年第5期1500-1508,共9页
光电突触晶体管将光传感和突触功能集成到单个器件中,在视觉信息采集、识别、记忆和处理的神经形态计算具有显著的优势.然而,现有光电突触的权重更新主要是基于光刺激和电刺激分别调节突触的兴奋和抑制.这种方式严重限制了器件的处理速... 光电突触晶体管将光传感和突触功能集成到单个器件中,在视觉信息采集、识别、记忆和处理的神经形态计算具有显著的优势.然而,现有光电突触的权重更新主要是基于光刺激和电刺激分别调节突触的兴奋和抑制.这种方式严重限制了器件的处理速度和应用场景.在这项工作中,我们提出了双极突触有机/无机异质结晶体管(BSOIHT),可以有效地模拟光刺激下的双向(兴奋/抑制)突触行为.此外,通过优化电极接触位置以及电极材料,晶体管的载流子注入得到了显著改善,使得突触事件功耗降至2.4 fJ.此外,采用BSOIHT构建的神经形态视觉系统,有效地促进了图像预处理,将识别准确率从44.93%大幅提高到87.01%.这为构建低能耗的人工视觉系统提供了新的途径. 展开更多
关键词 photoelectric synaptic transistor artificial vision system low energy consumption bipolar heterojunction transistor
原文传递
A low power low noise analog front end for portable healthcare system 被引量:1
15
作者 王艳朝 柯可人 +3 位作者 秦文辉 秦亚杰 易婷 洪志良 《Journal of Semiconductors》 EI CAS CSCD 2015年第10期135-141,共7页
The presented analog front end (AFE) used to process human bio-signals consists of chopping in- strument amplifier (IA), chopping spikes filter and programmable gain and bandwidth amplifier. The capacitor- couplin... The presented analog front end (AFE) used to process human bio-signals consists of chopping in- strument amplifier (IA), chopping spikes filter and programmable gain and bandwidth amplifier. The capacitor- coupling input of AFE can reject the DC electrode offset. The power consumption of current-feedback based IA is reduced by adopting capacitor divider in the input and feedback network. Besides, IA's input thermal noise is decreased by utilizing complementary CMOS input pairs which can offer higher transconductance. Fabricated in Global Foundry 0.35 μm CMOS technology, the chip consumes 3.96 μA from 3.3 V supply. The measured input noise is 0.85μVrms (0.5-100 Hz) and the achieved noise efficient factor is 6.48. 展开更多
关键词 AFE chopping IA low power consumption low noise NEF
原文传递
A low power cyclic ADC design for a wireless monitoring system for orthopedic implants
16
作者 陈怡 李福乐 +2 位作者 陈虹 张春 王志华 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2009年第8期147-152,共6页
This paper presents a low power cyclic analog-to-digital convertor (ADC) design for a wireless monitoring system for orthopedic implants. A two-stage cyclic structure including a single to differential converter, tw... This paper presents a low power cyclic analog-to-digital convertor (ADC) design for a wireless monitoring system for orthopedic implants. A two-stage cyclic structure including a single to differential converter, two multiplying DAC functional blocks (MDACs) and some comparators is adopted, which brings moderate speed and moderate resolution with low power consumption. The MDAC is implemented with the common switched capacitor method. The 1.5-bit stage greatly simplifies the design of the comparator. The operational amplifier is carefully op- timized both in schematic and layout for low power and offset. The prototype chip has been fabricated in a United Microelectronics Corporation (UMC) 0.18-μm 1P6M CMOS process. The core of the ADC occupies only 0.12 mm2. With a 304.7-Hz input and 4-kHz sampling rate, the measured peak SNDR and SFDR are 47.1 dB and 57.8 dBc respectively and its DNL and INL are 0.27 LSB and 0.3 LSB, respectively. The power consumption of the ADC is only 12.5 μW in normal working mode and less than 150 nW in sleep mode. 展开更多
关键词 monitoring system low power consumption small size analog to digital convertor single to differential convertor
原文传递
Indium-organic framework CPP-3(In)derived Ag/In_(2)O_(3) porous hexagonal tubes for H_(2)S detection at low temperature
17
作者 Sihan Li Lili Xie +4 位作者 Guifang Luo Yutong Han Ming Zhou Rawat Jaisutti Zhigang Zhu 《Chinese Chemical Letters》 SCIE CAS CSCD 2022年第1期551-556,共6页
There is a great demand for high-performance hydrogen sulfide(H_(2)S)sensors with low operating temperatures.Ag/In_(2)O_(3)hexagonal tubes with different proportions were prepared by the calcination of Ag+-impregnated... There is a great demand for high-performance hydrogen sulfide(H_(2)S)sensors with low operating temperatures.Ag/In_(2)O_(3)hexagonal tubes with different proportions were prepared by the calcination of Ag+-impregnated indium-organic frameworks(CPP-3(In)),and the developed sensors exhibit enhanced gas-sensing performance toward H_(2)S.Gas sensing measurements indicate that the response of Ag/In_(2)O_(3)(2.5 wt%)sensor to 5 ppm H_(2)S has the highest response(119),operated at 70℃.The Ag/In_(2)O_(3)(2.5 wt%)based sensor exhibits short response time(20 s),low detection limit(300 ppb),and good selectivity toward H_(2)S gas,which imply that the CPP-3(In)-derived Ag/In_(2)O_(3)hexagonal tube is a promising candidate to be constructed a low power-consumption H_(2)S sensor. 展开更多
关键词 Ag/In_(2)O_(3) Indium-organic framework(CPP-3(In)) H_(2)S Gas sensor low power consumption
原文传递
Serrated periodic electrode for high energy efficiency and large bandwidth acousto-optic modulators 被引量:1
18
作者 吴季 梁力 +3 位作者 屠科锋 李锟影 王梓 吕国强 《Chinese Optics Letters》 SCIE EI CAS CSCD 2023年第3期77-83,共7页
In an acousto-optic modulator,the electrode shape plays an important role in performance,since it affects the distribution of the acoustic field.The acousto-optic modulator based on the conventional rectangular electr... In an acousto-optic modulator,the electrode shape plays an important role in performance,since it affects the distribution of the acoustic field.The acousto-optic modulator based on the conventional rectangular electrode has the problems of low energy efficiency and small modulation bandwidth due to an imperfect acoustic field.In this paper,a new serrated periodic electrode has been proposed for using acousto-optic modulator transducers.The proposed electrode has the following advantages.By using serrated periodic electrodes to suppress the sidelobes,the collimation of the acoustic field in the direction perpendicular to the light incidence is improved.This makes the acousto-optic modulator have a stable diffraction efficiency fluctuation and high energy efficiency.In addition,the electrode has a large divergence angle in the direction of light incidence,so a large bandwidth can be obtained.The simulations and experiments demonstrate that the serrated periodic electrode has an increased bandwidth and high energy efficiency. 展开更多
关键词 acousto-optic modulator serrated periodic electrode large bandwidth low power consumption
原文传递
用于神经形态学计算的低能耗、高稳定性2D-3D钙钛矿忆阻器
19
作者 孙凯旋 王庆瑞 +5 位作者 周龙 王静娟 常晶晶 郭瑞 Beng Kang Tay 闫小兵 《Science China Materials》 SCIE EI CAS CSCD 2023年第5期2013-2022,共10页
近年来,有机-无机卤化物钙钛矿在忆阻器和人工突触器件等电子器件中的应用取得了快速进展.由于其离子迁移特性和制造上的优势,有机-无机卤化物钙钛矿有望成为下一代计算设备的候选材料.本文采用ITO/FA_(1-y)MA_(y)PbI_(3-x)Cl_(x)/(PEA)... 近年来,有机-无机卤化物钙钛矿在忆阻器和人工突触器件等电子器件中的应用取得了快速进展.由于其离子迁移特性和制造上的优势,有机-无机卤化物钙钛矿有望成为下一代计算设备的候选材料.本文采用ITO/FA_(1-y)MA_(y)PbI_(3-x)Cl_(x)/(PEA)_(2)PbI_(4)/Au的叠层结构,研究了2D-3D有机-无机杂化钙钛矿忆阻器.结果表明,这种新型忆阻器具有新颖的电阻开关特性,如扫描速率相关的电流开关特性、良好的电流-电压曲线重复性和超低能耗.利用p-i-n结模型证实了缺陷调制电子隧穿机制,并证明了忆阻器件的电导状态由电极侧附近钙钛矿薄膜中的缺陷浓度决定.除了良好的忆阻特性外,这种2D-3D钙钛矿型忆阻器还可以很好地用作人工突触,其内部缺陷运动可以真实地模拟生物突触中Ca^(2+)的流入和挤出.此外,由于有机-无机卤化物钙钛矿中的可切换p-i-n结构,这种基于钙钛矿的人工突触具有超低功耗.我们的发现展示了2D-3D钙钛矿忆阻器在未来神经形态计算系统中的巨大应用潜力. 展开更多
关键词 PEROVSKITE ion migration MEMRISTOR low energy consumption neuromorphic computing
原文传递
基于肖特基势垒调控的低能耗高识别精度的有机突触晶体管
20
作者 陈天健 俞衽坚 +4 位作者 高昌松 陈振家 陈惠鹏 郭太良 陈伟 《Science China Materials》 SCIE EI CAS CSCD 2023年第11期4453-4463,共11页
为了构建类脑神经形态计算网络,单一的人工突触器件应该表现出极低的能量消耗,达到飞焦耳级别.然而,大多数现有的基于欧姆接触的低能耗突触器件实施方案,要么结构复杂,要么需要特定材料,这些因素都阻碍了人工神经网络的进一步发展.本文... 为了构建类脑神经形态计算网络,单一的人工突触器件应该表现出极低的能量消耗,达到飞焦耳级别.然而,大多数现有的基于欧姆接触的低能耗突触器件实施方案,要么结构复杂,要么需要特定材料,这些因素都阻碍了人工神经网络的进一步发展.本文报告了一种肖特基势垒调控的有机突触晶体管(SBROST).通过在源电极和半导体之间的接触界面引入肖特基势垒,显著降低了单个突触事件的能耗,与使用欧姆接触的传统有机突触晶体管相比,SBROST的性能得到了改善.SBROST不仅可在低工作电压和电流下运行,还具有可适用于不同有机突触器件的简单结构.此外,SBROST可以实现低能耗下的高识别精度.经过100个周期,基于SBROST的手写人工神经网络表现出卓越的识别精度(93.53%),接近理想精度(95.62%).将肖特基势垒引入突触晶体管的方案为构建类脑神经计算网络提供了新的视角. 展开更多
关键词 synaptic plasticity low energy consumption Schottky barrier high recognition accuracy artificial neural network
原文传递
上一页 1 2 下一页 到第
使用帮助 返回顶部