期刊文献+
共找到1,097篇文章
< 1 2 55 >
每页显示 20 50 100
Cost of Multicast Logical Key Tree Based on Hierarchical Data Processing 被引量:2
1
作者 ZHOU Fucai XU Jian LI Ting 《Wuhan University Journal of Natural Sciences》 CAS 2006年第5期1172-1176,共5页
How to design a multicast key management system with high performance is a hot issue now. This paper will apply the idea of hierarchical data processing to construct a common analytic model based on directed logical k... How to design a multicast key management system with high performance is a hot issue now. This paper will apply the idea of hierarchical data processing to construct a common analytic model based on directed logical key tree and supply two important metrics to this problem: re-keying cost and key storage cost. The paper gives the basic theory to the hierarchical data processing and the analyzing model to multieast key management based on logical key tree. It has been proved that the 4-ray tree has the best performance in using these metrics. The key management problem is also investigated based on user probability model, and gives two evaluating parameters to re-keying and key storage cost. 展开更多
关键词 MULTICAST logical key tree hierarchical data processing
下载PDF
Design of logic process based low-power 512-bit EEPROM for UHF RFID tag chip 被引量:2
2
作者 金丽妍 LEE J H KIM Y H 《Journal of Central South University》 SCIE EI CAS 2010年第5期1011-1020,共10页
A 512-bit EEPROM IP was designed by using just logic process based devices.To limit the voltages of the devices within 5.5 V,EEPROM core circuits,control gate(CG) and tunnel gate(TG) driving circuits,DC-DC converters:... A 512-bit EEPROM IP was designed by using just logic process based devices.To limit the voltages of the devices within 5.5 V,EEPROM core circuits,control gate(CG) and tunnel gate(TG) driving circuits,DC-DC converters:positive pumping voltage(VPP=4.75 V) ,negative pumping voltage(VNN=4.75 V) ,and VNNL(=VNN/2) generation circuit were proposed.In addition,switching powers CG high voltage(CG_HV) ,CG low voltage(CG_LV) ,TG high voltage(TG_HV) ,TG low voltage(TG_LV) ,VNNL_CG and VNNL_TG switching circuit were supplied for the CG and TG driving circuit.Furthermore,a sequential pumping scheme and a new ring oscillator with a dual oscillation period were proposed.To reduce a power consumption of EEPROM in the write mode,the reference voltages VREF_VPP for VPP and VREE_VNN for VNN were used by dividing VDD(1.2 V) supply voltage supplied from the analog block in stead of removing the reference voltage generators.A voltage level detector using a capacitive divider as a low-power DC-DC converter design technique was proposed.The result shows that the power dissipation is 0.34μW in the read mode,13.76μW in the program mode,and 13.66μW in the erase mode. 展开更多
关键词 EEPROM 逻辑程序设计 RFID标签 低功耗 超高频 芯片 驱动电路 高压开关
下载PDF
A Photolithography Process Design for 5 nm Logic Process Flow
3
作者 Qiang Wu Yanli Li +1 位作者 Yushu Yang Yuhang Zhao 《Journal of Microelectronic Manufacturing》 2019年第4期45-55,共11页
With the introduction of EUV lithography,the photolithographic process in 5 nm logic process can be simplified to use mostly single exposure method.In a typical 5 nm logic process,the contact-poly pitch(CPP)is 44-50 n... With the introduction of EUV lithography,the photolithographic process in 5 nm logic process can be simplified to use mostly single exposure method.In a typical 5 nm logic process,the contact-poly pitch(CPP)is 44-50 nm,the minimum metal pitch(MPP)is around 30-32 nm.And the overlay budget is estimated to be 2.5 nm(on product overlay).Although the optical resolution of a 0.33NA exposure tool(such as ASML NXE3400)can reach below 32 nm pitch,stochastics in the EUV absorption in photoresists has limited its application to smaller pitches.For the CPP mentioned above,one can use 193 nm immersion lithography with Self-Aligned Double Patterning(SADP)technique to provide good image contrast(or CDU,LWR)as well as good overlay,as for the 10 and 7 nm generations.In the BEOL,however,the 30-32 pitch cannot be realized by a single EUV exposure with enough printing defect process window.If this pitch is to be done by 193 nm immersion lithography,more than 6-8 exposures are needed with very complicated overlay result.For EUV,this can be done through self-aligned LELE with both good CD and overlay control.We have done an optimization of the photolithographic process parameters for the typical metal with a self-developed aerial image simulator based on rigorous coupled wave analysis(RCWA)algorithm and the Abbe imaging routine with an EUV absorption model which describes stochastics.We have calibrated our model with wafer exposure data from several photoresists under collaboration with IMEC.As we have presented last year,to accommodate all pitches under a logic design rule,as well as to provide enough CDU for the logic device performance,in DUV lithography,a typical minimum exposure latitude(EL)for the poly and metal layers can be set at,respectively,18%and 13%.In EUV,due to the existence of stochastics,13%EL,which corresponds to an imaging contrast of 40%,seems not enough for the metal trenches,and to obtain an imaging contrast close to 100%,which yields an EL of 31.4%means that we need to relax minimum pitch to above 41 nm(conventional imaging limit for 0.33NA).This is the best imaging contrast a photolithographic process can provide to reduce LWR and stochastics.In EUV,due to the significantly smaller numerical apertures compared to DUV,the aberration impact can cause much more pronounced image registration error,in order to satisfy 2.5 nm total overlay,the aberration induced shift needs to be kept under 0.2 nm.We have also studied shadowing effect and mask 3D scattering effect and our results will be provided for discussion. 展开更多
关键词 5 nm logic process EUV SADP self-aligned LELE RCWA stochastics mask 3D scattering
下载PDF
Design of 512-bit logic process-based single poly EEPROM IP
4
作者 金丽妍 JANG Ji-Hye +2 位作者 余忆宁 HA Pan-Bong KIM Young-Hee 《Journal of Central South University》 SCIE EI CAS 2011年第6期2036-2044,共9页
A single poly EEPROM cell circuit sharing the deep N-well of a cell array was designed using the logic process. The proposed cell is written by the FN tunneling scheme and the cell size is 41.26 μm2, about 37% smalle... A single poly EEPROM cell circuit sharing the deep N-well of a cell array was designed using the logic process. The proposed cell is written by the FN tunneling scheme and the cell size is 41.26 μm2, about 37% smaller than the conventional cell. Also, a small-area and low-power 512-bit EEPROM IP was designed using the proposed cells which was used for a 900 MHz passive UHF RFID tag chip. To secure the operation of the cell proposed with 3.3 V devices and the reliability of the used devices, an EEPROM core circuit and a DC-DC converter were proposed. Simulation results for the designed EEPROM IP based on the 0.18 μm logic process show that the power consumptions in read mode, program mode and erase mode are 11.82, 25.15, and 24.08 μW, respectively, and the EEPROM size is 0.12 mm2. 展开更多
关键词 EEPROM 设计过程 逻辑过程 单元电路 RFID标签 仿真结果 程序模式 低功耗
下载PDF
两岸融合发展示范区建设的逻辑理路和实践进路——基于“结构-过程”框架的分析
5
作者 罗海成 《闽台关系研究》 2024年第1期2-16,共15页
建设两岸融合发展示范区,是推进两岸关系和平发展和服务祖国统一大业的重大战略安排。基于结构功能主义理论,应用“结构-过程”框架,建构两岸融合发展示范区研究模型。从结构角度分析,可以清晰展现两岸融合发展示范区在内涵、空间两个... 建设两岸融合发展示范区,是推进两岸关系和平发展和服务祖国统一大业的重大战略安排。基于结构功能主义理论,应用“结构-过程”框架,建构两岸融合发展示范区研究模型。从结构角度分析,可以清晰展现两岸融合发展示范区在内涵、空间两个维度的全领域、全区域的全域网格化图景;从过程角度分析,可以动态体现两岸融合发展中两类主体、两种不同方向作用力的互动作用过程。两岸融合发展示范区建设蕴含了社会系统建构的内在逻辑机理,是两岸关系领域理论与实践相结合的创新探索。两岸融合发展示范区是一项创新性系统工程,在结构层面要强化系统观念,完善两岸融合发展示范区有机系统;在过程层面要强化合作观念,推动两岸融合发展示范区合作共建;在创新层面要强化质效观念,实现两岸融合发展示范区建设规划目标。 展开更多
关键词 两岸融合发展示范区 结构功能主义 “结构-过程” 逻辑理路 社会系统
下载PDF
建构主义视域下全球体育治理制度建构的过程逻辑研究
6
作者 张长城 《渭南师范学院学报》 2024年第2期66-71,共6页
从建构主义视角提出全球体育治理制度建构的过程分析框架。研究发现:在全球体育治理制度建构过程中,领导型制度倡议者理念偏差、观念性共识凝聚梗阻、战略竞争思维主导国际体育制度改革、制度霸权干扰观念制度化进程是造成体育制度供给... 从建构主义视角提出全球体育治理制度建构的过程分析框架。研究发现:在全球体育治理制度建构过程中,领导型制度倡议者理念偏差、观念性共识凝聚梗阻、战略竞争思维主导国际体育制度改革、制度霸权干扰观念制度化进程是造成体育制度供给失衡、体育制度黏性、制度非中性和制度碎片化等制度建构结果不理想的根源。从制度建构过程中溯源制度建构负向结果的成因——观念障碍,提出全球体育治理制度优化的可行路径。 展开更多
关键词 全球体育治理 建构主义 制度建构 过程逻辑
下载PDF
美国道德教育模式的演进研究:历程、主题及逻辑
7
作者 荆德亭 《现代教育科学》 2024年第1期149-155,共7页
美国道德教育模式经历了从传统品格教育模式到理论基础构建模式、价值澄清模式、价值分析模式、道德认知发展模式、社会行动模式、关怀模式等道德教育模式的多样化发展,再到新品格教育模式的演进历程。正当性问题、本体性问题、实践性... 美国道德教育模式经历了从传统品格教育模式到理论基础构建模式、价值澄清模式、价值分析模式、道德认知发展模式、社会行动模式、关怀模式等道德教育模式的多样化发展,再到新品格教育模式的演进历程。正当性问题、本体性问题、实践性问题以及价值性问题等几个基本问题,构成了美国道德教育模式演进的中心主题。美国道德教育模式的演进,在价值取向、理论基础以及实践策略等多个维度上,呈现出清晰的“整合趋向”的内在逻辑。 展开更多
关键词 美国道德教育模式 演进历程 演进主题 演进逻辑
下载PDF
生成逻辑、思想意涵与价值澄明:全过程人民民主的三维向度
8
作者 和思鹏 王鑫 《铜仁学院学报》 2024年第1期1-8,共8页
发展全过程人民民主是充分体现“两个结合”的原创性理论阐释,是革命、建设、改革各个历史时期民主实践探索的思想升华,是新时代中国特色社会主义民主政治发展的创新定义。全过程人民民主具备完整的制度链条、真实有效的参与实践。通过... 发展全过程人民民主是充分体现“两个结合”的原创性理论阐释,是革命、建设、改革各个历史时期民主实践探索的思想升华,是新时代中国特色社会主义民主政治发展的创新定义。全过程人民民主具备完整的制度链条、真实有效的参与实践。通过畅通民主程序环节“全链条”、开拓民主领域范围“全方位”、落实民主主体内容“全覆盖”,不断彰显人民民主原则信念、展现人民民主真实价值、激活人民群众参与意识。全过程人民民主是马克思主义民主理论中国化时代化的最新成果,是构建新时代中国特色民主话语体系的重要基石,是实现国家治理体系和治理能力现代化的必然要求,是引领人类政治文明发展的中国智慧和中国方案。 展开更多
关键词 全过程人民民主 生成逻辑 思想意涵 价值澄明
下载PDF
全过程人民民主与数字政府建设的交叉与共进
9
作者 翟翌 刘杰 《北京联合大学学报(人文社会科学版)》 2024年第1期82-90,共9页
全过程人民民主是民主理论的新话语形态,是人类政治文明的新探索方向。数字政府建设是我国的重大战略部署,是各国政府未来的转型路径。全过程人民民主与数字政府建设均可统合于中国式现代化的背景之下,二者具有共同特征,相互促进、共同... 全过程人民民主是民主理论的新话语形态,是人类政治文明的新探索方向。数字政府建设是我国的重大战略部署,是各国政府未来的转型路径。全过程人民民主与数字政府建设均可统合于中国式现代化的背景之下,二者具有共同特征,相互促进、共同发展。数字政府建设有利于全过程人民民主的发展,全过程人民民主为数字政府建设指明方向。数字政府是技术型政府、公开型政府、扁平型政府、平台型政府,为发展全过程人民民主提供了现代技术支撑、丰富了多维信息来源、降低了制度运行成本、构建了多元参与场域。在全过程人民民主理念指引下,数字政府建设应坚持以人民为中心,加强数字法治政府建设,扩大公民参与广度和深度,提高政府回应度。针对数字技术应用对民主价值的冲击,应始终坚持以价值逻辑引领技术逻辑,推动数字政府建设与发展全过程人民民主相协调,共同致力于建设社会主义现代化国家。 展开更多
关键词 全过程人民民主 数字政府 中国式现代化 价值逻辑 技术逻辑
下载PDF
新时期高职院校专业群的演进历程、逻辑要求与实践路径
10
作者 覃正纳 《职业技术教育》 北大核心 2024年第12期34-37,共4页
专业群的建设和发展是优化高等教育结构的重要手段,是高职院校培养大国工匠的重要途径。我国高职院校专业群建设经历了探索实践、蓬勃发展、巩固提升、内涵发展4个阶段,形成了专业群高质量发展的出场逻辑和组群逻辑。新时期高职院校专... 专业群的建设和发展是优化高等教育结构的重要手段,是高职院校培养大国工匠的重要途径。我国高职院校专业群建设经历了探索实践、蓬勃发展、巩固提升、内涵发展4个阶段,形成了专业群高质量发展的出场逻辑和组群逻辑。新时期高职院校专业群建设要准确定位人才培养目标,整合优势资源,创新专业群建设的管理与运行机制,创新评价体系、组建适应专业群建设的高水平教师队伍。 展开更多
关键词 高职院校 专业群 演进历程 逻辑要求 实践路径
下载PDF
杭州市创新企业空间分布的演变过程与制度逻辑
11
作者 邱德华 吕飞 +1 位作者 陆建城 孙婷 《现代城市研究》 北大核心 2024年第2期17-23,共7页
制度是城镇空间演变的重要驱动因素,创新企业所依托的物质载体作为城镇空间的核心构成,受制度因素的影响更为直接与显著。文章以杭州市为例,基于新制度主义理论视角,构建“制度—空间”逻辑框架,试图总结创新企业空间分布演变过程,并揭... 制度是城镇空间演变的重要驱动因素,创新企业所依托的物质载体作为城镇空间的核心构成,受制度因素的影响更为直接与显著。文章以杭州市为例,基于新制度主义理论视角,构建“制度—空间”逻辑框架,试图总结创新企业空间分布演变过程,并揭示其内在制度逻辑。研究发现:①创新企业空间分布演变经历了“老城集聚—郊区迁移—散点分布—城区回迁”4个阶段,这一过程中制度嬗变并非线性,而是始终处于“试错—调整”交替的过程;②制度逻辑主要包括地方分权制度的催生、空间规划制度的引导、创新政策制度的支撑和存量更新制度的驱动4个方面。最后,从强化制度作用、创新制度供给和完善制度保障3个方面提出优化建议。文章旨在丰富创新企业空间分布演变的制度内涵,同时也为地方政府的制度创新提供参考与借鉴。 展开更多
关键词 创新企业 空间分布 演变过程 制度逻辑 杭州
下载PDF
中国共产党坚持胸怀天下的历史进程、现实逻辑与实践要求
12
作者 杨萍 陈夏茹 《聊城大学学报(社会科学版)》 2024年第2期26-32,共7页
中国式现代化是走和平发展道路的现代化,中国式现代化离不开中国的发展与全人类的共同进步。“坚持胸怀天下”是中国共产党从百年奋斗历程中汲取的经验智慧,是从现实需求中寻求的发展方案,是领导人民进行伟大斗争的重要历史经验。中华... 中国式现代化是走和平发展道路的现代化,中国式现代化离不开中国的发展与全人类的共同进步。“坚持胸怀天下”是中国共产党从百年奋斗历程中汲取的经验智慧,是从现实需求中寻求的发展方案,是领导人民进行伟大斗争的重要历史经验。中华优秀传统文化的赓续发展、“两个大局”的内在联系以及马克思主义政党的本质要求是中国共产党坚持胸怀天下的现实逻辑。彰显新时代中国共产党的胸怀天下与大国担当,要不断维护国际关系基本准则,践行真正的多边主义;积极参与全球治理,不断推动完善全球治理体系;坚持发展优先,推动全球发展更加平衡协调包容。 展开更多
关键词 胸怀天下 历史进程 现实逻辑 实践要求 中国共产党
下载PDF
中国式现代化的理论逻辑、历史进程及价值内蕴
13
作者 帅启梅 《邵阳学院学报(社会科学版)》 2024年第1期22-29,共8页
从现代化的历史发展来看,现代化是每个国家的历史与现实目标,但任何一个国家的现代化模式与道路,都是由其特定的经济社会条件与历史背景决定的。在现代性获得世界性权力并在全球扩张后,中国卷入现代化进程中。从最初的器物层面现代化到... 从现代化的历史发展来看,现代化是每个国家的历史与现实目标,但任何一个国家的现代化模式与道路,都是由其特定的经济社会条件与历史背景决定的。在现代性获得世界性权力并在全球扩张后,中国卷入现代化进程中。从最初的器物层面现代化到制度层面的现代化,再到以马克思主义为指导,开创性地提出中国式现代化道路,中国的现代化进程有其独特的发展逻辑与历史脉络,中国式现代化道路的提出也是理论、历史与实践相结合的必然产物。中国式现代化道路的开创与推进,既为马克思主义的发展与创新作出了理论贡献,又为世界现代化发展提供了新的实践路径,开创了新的文明类型。 展开更多
关键词 中国式现代化 理论逻辑 历史进程 价值内蕴
下载PDF
基于模糊测度的模糊分支时态逻辑模型检测
14
作者 刘子源 马占有 +3 位作者 李霞 高滢囡 何娜娜 黄瑞祺 《计算机工程与科学》 CSCD 北大核心 2024年第4期676-683,共8页
针对具有模糊性和不确定性的复杂系统的验证问题,提出一种基于模糊测度的模糊分支时态逻辑模型检测算法。首先,在模糊决策过程模型的基础上引入模糊分支时态逻辑的语法和语义。然后,给出模糊分支时态逻辑模型检测算法,该算法将模型检测... 针对具有模糊性和不确定性的复杂系统的验证问题,提出一种基于模糊测度的模糊分支时态逻辑模型检测算法。首先,在模糊决策过程模型的基础上引入模糊分支时态逻辑的语法和语义。然后,给出模糊分支时态逻辑模型检测算法,该算法将模型检测问题转化为矩阵运算,具有计算方式简洁、复杂度较低的优点。最后,通过医疗专家系统的实例说明了该模型检测算法的有效性。 展开更多
关键词 模糊决策过程 模糊测度 模糊分支时态逻辑 模型检测 矩阵运算
下载PDF
基于Python和机器人流程自动化的PLC程序自动组态
15
作者 于洪庆 《信息与电脑》 2024年第1期62-64,68,共4页
针对目前可编程逻辑控制器(Programmable Logic Controller,PLc)程序手动编程烦琐,容易出错,重复劳动量大等问题,提出基于Python语言编程和机器人流程自动化(Robotic Process Automation,RPA)的PLC程序自动组态。文章以西门子博途编程... 针对目前可编程逻辑控制器(Programmable Logic Controller,PLc)程序手动编程烦琐,容易出错,重复劳动量大等问题,提出基于Python语言编程和机器人流程自动化(Robotic Process Automation,RPA)的PLC程序自动组态。文章以西门子博途编程软件为例,用Python语言设计界面并读取Excel文件,生成结构化控制语言(Structured Control Language,SCL)格式的外部文件,再通过RPA将其引入博途编程软件,自动生成数据块和子程序,完成程序的全部自动化编写和软件操作。通过验证和测试,证明了此方案切实可行,并且随着PLC应用的日益广泛,具有很高的推广价值。 展开更多
关键词 PYTHON 机器人流程自动化 可编程逻辑控制器
下载PDF
某净水厂自动控制系统设计探讨与应用
16
作者 贺瑞娟 《长江信息通信》 2024年第1期118-120,128,共4页
净水厂是关系城市、居民生活的城市基础设施,其安全稳定运行至关重要。自动化控制系统则是净水厂的核心中枢,其通过自动化手段对净水处理生产各环节中的各项工艺技术指标进行连续检测,并将相关数据实时推送给自动控制系统,控制系统根据... 净水厂是关系城市、居民生活的城市基础设施,其安全稳定运行至关重要。自动化控制系统则是净水厂的核心中枢,其通过自动化手段对净水处理生产各环节中的各项工艺技术指标进行连续检测,并将相关数据实时推送给自动控制系统,控制系统根据在线检测的结果对生产工艺设备进行及时调整,以确保净水厂安全可靠、稳定的开展水生产作业。本研究通过对某净水厂自动化控制系统的设计和研究,为同类工程建设提供了一定参考。 展开更多
关键词 自动控制 净水厂 过程控制 可编程逻辑控制器
下载PDF
新型复式交分道岔在CBTC车辆段的应用与研究
17
作者 刘乐霞 薛强 +1 位作者 朱剑峰 马峥 《铁道通信信号》 2024年第1期87-91,共5页
从信号系统控制的角度出发,在研究传统复式交分道岔控制方式的基础上,以台州市域铁路S1线CBTC车辆段内设置的复式交分道岔为例,提出新型复式交分道岔在CBTC制式下的应用。结合传统复式交分道岔,从新型复式交分道岔的控制原理、逻辑处理... 从信号系统控制的角度出发,在研究传统复式交分道岔控制方式的基础上,以台州市域铁路S1线CBTC车辆段内设置的复式交分道岔为例,提出新型复式交分道岔在CBTC制式下的应用。结合传统复式交分道岔,从新型复式交分道岔的控制原理、逻辑处理、列车控制方式等方面,介绍其优化设计方案和控制方案,以便为后续新型复式交分道岔在CBTC车场的应用提供重要的指导和借鉴作用。 展开更多
关键词 复式交分道岔 CBTC车辆段 道岔控制方式 道岔逻辑处理 优化设计
下载PDF
在法治轨道上推进全过程人民民主的三重逻辑
18
作者 李弘雯 钱周伟 《衡阳师范学院学报》 2024年第2期23-29,共7页
全过程人民民主是中国人民当家作主的具体体现,推进与完善全过程人民民主必须在法治轨道上进行。全过程人民民主的确立、运行与实现都离不开法治的领航与维护。事实上,自建党以来,我们党一直遵循在法治轨道上发展全过程人民民主的原则... 全过程人民民主是中国人民当家作主的具体体现,推进与完善全过程人民民主必须在法治轨道上进行。全过程人民民主的确立、运行与实现都离不开法治的领航与维护。事实上,自建党以来,我们党一直遵循在法治轨道上发展全过程人民民主的原则。在法治轨道上继续推进全过程人民民主,需要完善相关制度体系,提升人们的法治素养,丰富基层实践形式,确保全过程人民民主与全面依法治国同向同行。 展开更多
关键词 法治轨道 全过程人民民主 制度化 三重逻辑
下载PDF
铸造机械设备生产过程自动化控制系统设计
19
作者 姚劲夫 《现代制造技术与装备》 2024年第3期215-217,共3页
铸造机械设备已经广泛应用于各个领域。随着科技的不断进步,传统的生产方式已经无法满足市场需求,因此研究铸造机械设备生产过程的自动化控制技术显得尤为重要。一方面,阐述传统生产方式存在的不足之处,如生产效率低、精度难以保证等。... 铸造机械设备已经广泛应用于各个领域。随着科技的不断进步,传统的生产方式已经无法满足市场需求,因此研究铸造机械设备生产过程的自动化控制技术显得尤为重要。一方面,阐述传统生产方式存在的不足之处,如生产效率低、精度难以保证等。另一方面,设计一套基于可编程逻辑控制器(Programmable Logic Controller,PLC)控制系统和人机界面的自动化控制系统,实现铸造机械设备的自动监控和控制。设计的自动化控制系统能够有效提高生产效率,减轻工人劳动强度,提升产品质量。 展开更多
关键词 铸造机械设备 生产过程 自动化控制 可编程逻辑控制器(PLC)
下载PDF
An Optimized Vertical Handoff Algorithm Based on Markov Process in Vehicle Heterogeneous Network 被引量:4
20
作者 MA Bin DENG Hong +1 位作者 XIE Xianzhong LIAO Xiaofeng 《China Communications》 SCIE CSCD 2015年第4期106-116,共11页
In order to solve the problem the existing vertical handoff algorithms of vehicle heterogeneous wireless network do not consider the diversification of network's status, an optimized vertical handoff algorithm bas... In order to solve the problem the existing vertical handoff algorithms of vehicle heterogeneous wireless network do not consider the diversification of network's status, an optimized vertical handoff algorithm based on markov process is proposed and discussed in this paper. This algorithm takes into account that the status transformation of available network will affect the quality of service(Qo S) of vehicle terminal's communication service. Firstly, Markov process is used to predict the transformation of wireless network's status after the decision via transition probability. Then the weights of evaluating parameters will be determined by fuzzy logic method. Finally, by comparing the total incomes of each wireless network, including handoff decision incomes, handoff execution incomes and communication service incomes after handoff, the optimal network to handoff will be selected. Simulation results show that: the algorithm proposed, compared to the existing algorithm, is able to receive a higher level of load balancing and effectively improves the average blocking rate, packet loss rate and ping-pang effect. 展开更多
关键词 切换算法 异构网络 马尔科夫过程 垂直 优化 车辆 异构无线网络 马尔可夫过程
下载PDF
上一页 1 2 55 下一页 到第
使用帮助 返回顶部