期刊文献+
共找到31篇文章
< 1 2 >
每页显示 20 50 100
ATOMIC SCALE MECHANISM OF RESTRAINED EMBRITTLEMENT IN AMORPHOUS Fe_(78)B_(13)Si_9 ALLOY BY ELECTRIC PULSE RAPID ANNEALING 被引量:3
1
作者 J.L. Huang X.G. Cao +2 位作者 E. Sukedai S. Yamasaki and H.C.Gu(Department of Materials Engineering, Luoyang Institute of Technology, Luoyang 471039, China)(Department of Mechanical Engineering, Okayama University of Science, 1-1 Ridai-Cho,Okayama City,Okayma 《Acta Metallurgica Sinica(English Letters)》 SCIE EI CAS CSCD 1998年第4期286-290,共5页
The direct observations of the atomic arrangements in both conventional furnace annealed and electric pulse rapid annealed Fe78B13Si9 amorphous alloy have been conducted by the lattice imaging technique in a higt reso... The direct observations of the atomic arrangements in both conventional furnace annealed and electric pulse rapid annealed Fe78B13Si9 amorphous alloy have been conducted by the lattice imaging technique in a higt resolution electron microscope. The results showed that the embrittlement of the alloy was related to the extent of atomic rearrangements during the annealing processes. The embrittlement of the alloy after 1hour conventional furnace annealing at about 270℃ is caused by the sufficient atomic rearrangements which are characterized by the growth of some bct Fe3B-like atomic short range ordering regions already existed in the as-quenched structure. Electric pulse rapid annealing can effectively retard the above-mentioned atomic rearrangements and thus restrain the embrittlement. The embrittlement only occurs when certain amount of bcc α-Fe nanocrystals are precipitated in the amorphous matrix during electric pulse rapid annealing. 展开更多
关键词 Fe_(78)B_(13)Si_9 amorphous alloy EMBRITTLEMENT electric pulse rapid annealing high resolution electron microscopy
下载PDF
Phase Transformation and Enhancing Electron Field Emission Properties in Microcrystalline Diamond Films Induced by Cu Ion Implantation and Rapid Annealing 被引量:1
2
作者 申艳艳 张一新 +5 位作者 祁婷 乔瑜 贾钰欣 黑鸿君 贺志勇 于盛旺 《Chinese Physics Letters》 SCIE CAS CSCD 2016年第8期123-126,共4页
Cu ion implantation and subsequent rapid annealing at 500℃ in N2 result in low surface resistivity of 1.611 ohm/sq with high mobility of 290 cm2 V-1S-1 for microcrystalline diamond (MCD) films. Its electrical field... Cu ion implantation and subsequent rapid annealing at 500℃ in N2 result in low surface resistivity of 1.611 ohm/sq with high mobility of 290 cm2 V-1S-1 for microcrystalline diamond (MCD) films. Its electrical field emission behavior can be turned on at Eo = 2.6 V/μm, attaining a current density of 19.5μA/cm2 at an applied field of 3.5 V/#m. Field emission scanning electron microscopy combined with Raman and x-ray photoelectron mi- croscopy reveal that the formation of Cu nanoparticles in MCD films can catalytically convert the less conducting disorder/a-C phases into graphitic phases and can provoke the formation of nanographite in the films, forming conduction channels for electron transportation. 展开更多
关键词 CU of MCD Phase Transformation and Enhancing Electron Field Emission Properties in Microcrystalline Diamond Films Induced by Cu Ion Implantation and rapid annealing in by
下载PDF
Improved RF power performance of InAlN/GaN HEMT by optimizing rapid thermal annealing process for high-performance low-voltage terminal applications
3
作者 周雨威 宓珉瀚 +9 位作者 王鹏飞 龚灿 陈怡霖 陈治宏 刘捷龙 杨眉 张濛 朱青 马晓华 郝跃 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第12期474-480,共7页
Improved radio-frequency(RF)power performance of InAlN/GaN high electron mobility transistor(HEMT)is achieved by optimizing the rapid thermal annealing(RTA)process for high-performance low-voltage terminal application... Improved radio-frequency(RF)power performance of InAlN/GaN high electron mobility transistor(HEMT)is achieved by optimizing the rapid thermal annealing(RTA)process for high-performance low-voltage terminal applications.By optimizing the RTA temperature and time,the optimal annealing condition is found to enable low parasitic resistance and thus a high-performance device.Besides,compared with the non-optimized RTA HEMT,the optimized one demonstrates smoother ohmic metal surface morphology and better heterojunction quality including the less degraded heterojunction sheet resistance and clearer heterojunction interfaces as well as negligible material out-diffusion from the barrier to the channel and buffer.Benefiting from the lowered parasitic resistance,improved maximum output current density of 2279 mA·mm^(-1)and higher peak extrinsic transconductance of 526 mS·mm^(-1)are obtained for the optimized RTA HEMT.In addition,due to the superior heterojunction quality,the optimized HEMT shows reduced off-state leakage current of 7×10^(-3)mA·mm^(-1)and suppressed current collapse of only 4%,compared with those of 1×10^(-1)mA·mm^(-1)and 15%for the non-optimized one.At 8 GHz and V_(DS)of 6 V,a significantly improved power-added efficiency of 62%and output power density of 0.71 W·mm^(-1)are achieved for the optimized HEMT,as the result of the improvement in output current,knee voltage,off-state leakage current,and current collapse,which reveals the tremendous advantage of the optimized RTA HEMT in high-performance low-voltage terminal applications. 展开更多
关键词 InAlN/GaN rapid thermal annealing low voltage RF power performance terminal applications
下载PDF
Effects of rapid thermal annealing on the room-temperature NO_2-sensing properties of WO_3 thin films under LED radiation 被引量:1
4
作者 胡明 贾丁立 +2 位作者 刘青林 李明达 孙鹏 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第6期615-620,共6页
WO3 thin films were sputtered onto alumina substrates by DC facing-target magnetron sputtering. One sample was rapid-thermal-annealed (RTA) at 600 ℃ in a gas mixture of N2:O2 = 4 : 1, and as a comparison, another... WO3 thin films were sputtered onto alumina substrates by DC facing-target magnetron sputtering. One sample was rapid-thermal-annealed (RTA) at 600 ℃ in a gas mixture of N2:O2 = 4 : 1, and as a comparison, another was conventionally thermal-annealed at 600 ℃ in air. The morphology of both was investigated by scanning electron microscopy (SEM) and atomic force microscopy (AFM), and the crystallization structure and phase identification were characterized by X-ray diffraction (XRD). The NO2-sensing measurements were taken under LED light at room temperature. The sensitivity of the RTA-treated sample was found to be high, up to nearly 100, whereas the sensitivity of the conventionally thermal-annealed sample was about five under the same conditions. From the much better selectivity and response-recovery characteristics, it can be concluded that compared to conventional thermal annealing, RTA has a greater effect on the NO2-sensing properties of WO3 thin films. 展开更多
关键词 gas sensor tungsten-oxide thin film rapid thermal annealing LED
下载PDF
Research on the photoluminescence of spectral broadening by rapid thermal annealing on InAs/GaAs quantum dots 被引量:1
5
作者 Dandan Ning Yanan Chen +4 位作者 Xinkun Li Dechun Liang Shufang Ma Peng Jin Zhanguo Wang 《Journal of Semiconductors》 EI CAS CSCD 2020年第12期1-6,共6页
Photoluminescence (PL) test was conducted to investigate the effect of rapid thermal annealing (RTA) on the opticalperformance of self-assembled InAs/GaAs quantum dots (QDs) at the temperatures of 16 and 300 K. It was... Photoluminescence (PL) test was conducted to investigate the effect of rapid thermal annealing (RTA) on the opticalperformance of self-assembled InAs/GaAs quantum dots (QDs) at the temperatures of 16 and 300 K. It was found that after RTAtreatment, the PL spectrum of the QDs sample had a large blue-shift and significantly broadened at 300 K. Compared with theas-grown InAs QDs sample, the PL spectral width has increased by 44.68 meV in the InAs QDs sample RTA-treated at800 ℃. The excitation power-dependent PL measurements showed that the broadening of the PL peaks of the RTA-treatedInAs QDs should be related to the emission of the ground state (GS) of different-sized InAs QDs, the InAs wetting layer (WL)and the In0.15Ga0.85As strain reduction layer (SRL) in the epitaxial InAs/GaAs layers. 展开更多
关键词 quantum dots rapid thermal annealing PHOTOLUMINESCENCE spectral width
下载PDF
Effects of rapid thermal annealing on the morphology and optical property of ultrathin InSb film deposited on SiO_2/Si substrate 被引量:1
6
作者 李邓玥 李洪涛 +1 位作者 孙合辉 赵连城 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第2期499-502,共4页
Ultrathin InSb films on SiO2/Si substrates are prepared by radio frequency(RF) magnetron sputtering and rapid thermal annealing(RTA) at 300,400,and 500℃,respectively.X-ray diffraction(XRD) indicates that InSb f... Ultrathin InSb films on SiO2/Si substrates are prepared by radio frequency(RF) magnetron sputtering and rapid thermal annealing(RTA) at 300,400,and 500℃,respectively.X-ray diffraction(XRD) indicates that InSb film treated by RTA at 500℃,which is higher than its melting temperature(about 485℃),shows a monocrystalline-like feature.A high-resolution transmission electron microscopy(HRTEM) micrograph shows that melt recrystallization of InSb film on SiO2/Si(111) substrate is along the(111) planes.The transmittances of InSb films decrease and the optical band gaps redshift from 0.24 eV to 0.19 eV with annealing temperature increasing from 300℃ to 500℃,which is indicated by Fourier transform infrared spectroscopy(FTIR) measurement.The observed changes demonstrate that RTA is a viable technique for improving characteristics of InSb films,especially the melt-recrystallized film treated by RTA at 500℃. 展开更多
关键词 XOI solid-phase recrystallization rapid thermal annealing
下载PDF
Fabrication of VO_2 thin film by rapid thermal annealing in oxygen atmosphere and its metal–insulator phase transition properties
7
作者 梁继然 吴劢君 +4 位作者 胡明 刘剑 朱乃伟 夏晓旭 陈弘达 《Chinese Physics B》 SCIE EI CAS CSCD 2014年第7期617-621,共5页
Vanadium dioxide thin films have been fabricated through sputtering vanadium thin films and rapid thermal annealing in oxygen. The microstructure and the metal-insulator transition properties of the vanadium dioxide t... Vanadium dioxide thin films have been fabricated through sputtering vanadium thin films and rapid thermal annealing in oxygen. The microstructure and the metal-insulator transition properties of the vanadium dioxide thin films were inves- tigated by X-ray diffraction, X-ray photoelectron spectroscopy, and a spectrometer. It is found that the preferred orientation of the vanadium dioxide changes from (111) to (011 ) with increasing thickness of the vanadium thin film after rapid thermal annealing. The vanadium dioxide thin films exhibit an obvious metal-insulator transition with increasing temperature, and the phase transition temperature decreases as the film thickness increases. The transition shows hysteretic behaviors, and the hysteresis width decreases as the film thickness increases due to the higher concentration carriers resulted from the uncompleted lattice. The fabrication of vanadium dioxide thin films with higher concentration carriers will facilitate the nature study of the metal-insulator transition. 展开更多
关键词 vanadium dioxide metal-insulator transition rapid thermal annealing HYSTERESIS
下载PDF
Charge storage characteristics of hydrogenated nanocrystalline silicon film prepared by rapid thermal annealing
8
作者 李志刚 龙世兵 +4 位作者 刘明 王丛舜 贾锐 闾锦 施毅 《Chinese Physics B》 SCIE EI CAS CSCD 2007年第3期795-798,共4页
The early stages of hydrogenated nanocrystalline silicon (nc-Si:H) films deposited by plasma-enhanced chemical vapour deposition were characterized by atomic force microscopy. To increase the density of nanocrystal... The early stages of hydrogenated nanocrystalline silicon (nc-Si:H) films deposited by plasma-enhanced chemical vapour deposition were characterized by atomic force microscopy. To increase the density of nanocrystals in the nc-Si:H films, the films were annealed by rapid thermal annealing (RTA) at different temperatures and then analysed by Raman spectroscopy. It was found that the recrystallization process of the film was optimal at around 1000℃. The effects of different RTA conditions on charge storage were characterized by capacitance-voltage measurement. Experimental results show that nc-Si:H films obtained by RTA have good charge storage characteristics for nonvolatile memory. 展开更多
关键词 NC-SI hydrogenated nanocrystalline silicon charge storage rapid thermal annealing
下载PDF
Effects of high temperature rapid thermal annealing on Ge films grown on Si(001) substrate
9
作者 刘智 成步文 +3 位作者 李亚明 李传波 薛春来 王启明 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第11期463-466,共4页
Tensile strain, crystal quality, and surface morphology of 500 nm thick Ge films were improved after rapid thermal annealing at 900 ℃ for a short period (〈 20 s). The films were grown on Si(001) substrates by ul... Tensile strain, crystal quality, and surface morphology of 500 nm thick Ge films were improved after rapid thermal annealing at 900 ℃ for a short period (〈 20 s). The films were grown on Si(001) substrates by ultra-high vacuum chemical vapor deposition. These improvements are attributed to relaxation and defect annihilation in the Ge films. However, after prolonged (〉 20 s) rapid thermal annealing, tensile strain and crystal quality degenerated. This phenomenon results from intensive Si-Ge mixing at high temperature. 展开更多
关键词 Ge film rapid thermal annealing tensile strain Si-Ge mixing
下载PDF
Characterization of low-resistance ohmic contacts to heavily carbon-doped n-type InGaAsBi films treated by rapid thermal annealing
10
作者 周书星 艾立鹍 +4 位作者 齐鸣 徐安怀 颜家圣 李树森 金智 《Chinese Physics B》 SCIE EI CAS CSCD 2021年第2期472-475,共4页
Carbon-doped In Ga As Bi films on In P:Fe(100)substrates have been grown by gas source molecular beam epitaxy(GSMBE).The electrical properties and non-alloyed Ti/Pt/Au contact resistance of n-type carbon-doped In Ga A... Carbon-doped In Ga As Bi films on In P:Fe(100)substrates have been grown by gas source molecular beam epitaxy(GSMBE).The electrical properties and non-alloyed Ti/Pt/Au contact resistance of n-type carbon-doped In Ga As Bi films were characterized by Van der Pauw-Hall measurement and transmission line method(TLM)with and without rapid thermal annealing(RTA).It was found that the specific contact resistance decreases gradually with the increase of carrier concentration.The electron concentration exhibits a sharp increase,and the specific contact resistance shows a noticeable reduction after RTA.With RTA,the In Ga As Bi film grown under CBr4 supply pressure of 0.18 Torr exhibited a high electron concentration of 1.6×10^(21) cm^(-3) and achieved an ultra-low specific contact resistance of 1×10^(-8)Ω·cm^(2),revealing that contact resistance depends greatly on the tunneling effect. 展开更多
关键词 InGaAsBi electrical properties contact resistance rapid thermal annealing
下载PDF
Performance improvement of CdS/Cu(In,Ga)Se_2 solar cells after rapid thermal annealing
11
作者 陈东生 杨洁 +7 位作者 徐飞 周平华 杜汇伟 石建伟 于征汕 张玉红 Brian Bartholomeusz 马忠权 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第1期564-568,共5页
In this paper, we investigated the effect of rapid thermal annealing (RTA) on solar cell performance. An opto-electric conversion efficiency of 11.75% (Voc = 0.64 V, Jsc = 25.88 mA/cm2, FF=72.08%) was obtained und... In this paper, we investigated the effect of rapid thermal annealing (RTA) on solar cell performance. An opto-electric conversion efficiency of 11.75% (Voc = 0.64 V, Jsc = 25.88 mA/cm2, FF=72.08%) was obtained under AM 1.5G when the cell was annealed at 300℃ for 30 s. The annealed solar cell showed an average absolute efficiency 1.5% higher than that of the as-deposited one. For the microstructure analysis and the physical phase confirmation, X-ray diffraction (XRD), Raman spectra, front surface reflection (FSR), internal quantum efficiency (IQE), and X-ray photoelectron spectroscopy (XPS) were respectively applied to distinguish the causes inducing the efficiency variation. All experimental results implied that the RTA eliminated recombination centers at the p-n junction, reduced the surface optical losses, enhanced the blue response of the CdS buffer layer, and improved the ohmic contact between Mo and Cu(In, Ga)Se2 (CIGS) layers. This leaded to the improved performance of CIGS solar cell. 展开更多
关键词 CdS/Cu(In Ga)Se2 solar cell rapid thermal annealing performance improvement
下载PDF
Effect of Metal Contact and Rapid Thermal Annealing on Electrical Characteristics of Graphene Matrix
12
作者 S, Fahad M. Ali +3 位作者 S. Ahmed S. Khan S. Alam S. Akhtar 《Chinese Physics Letters》 SCIE CAS CSCD 2017年第10期65-69,共5页
Development of graphene field effect transistors (GFETs) faces a serious challenge of graphene interface to the dielectric material. A single layer of intrinsic graphene has an average sheet resistance of the order ... Development of graphene field effect transistors (GFETs) faces a serious challenge of graphene interface to the dielectric material. A single layer of intrinsic graphene has an average sheet resistance of the order of 1-5 kΩ/□. The intrinsic nature of graphene leads to higher contact resistance yielding into the outstanding properties of the material. We design a graphene matrix with minimized sheet resistance of 0.185 kΩ/□ with Ag contacts. The developed matrices on silicon substrates provide a variety of transistor design options for subsequent fabrication. The graphene layer is developed over 400 nm nickel in such a way as to analyze hypersensitive electrical properties of the interface for exfoliation. This work identifies potential of the design in the applicability of few-layer GFETs with less process steps with the help of analyzing the effect of metal contact and post-process anneMing on its electrical fabrication. 展开更多
关键词 Effect of Metal Contact and rapid Thermal annealing on Electrical Characteristics of Graphene Matrix
下载PDF
Effect of flash thermal annealing by pulsed current on rotational anisotropy in exchange-biased NiFe/FeMn film
13
作者 王振 谭士杰 +2 位作者 李俊 代波 邹延珂 《Chinese Physics B》 SCIE EI CAS CSCD 2018年第8期543-548,共6页
In this paper, Ta/[NiFe(15 nm)/FeMn(10 nm)]/Ta exchange-biased bilayers are fabricated by magnetron sputtering, and their static and dynamic magnetic properties before and after rapid annealing treatment with puls... In this paper, Ta/[NiFe(15 nm)/FeMn(10 nm)]/Ta exchange-biased bilayers are fabricated by magnetron sputtering, and their static and dynamic magnetic properties before and after rapid annealing treatment with pulsed current are charac- terized by using a vibrating sample magnetometer (VSM) and a vector network analyzer (VNA), respectively. The exchange bias field He and static anisotropy field Hksta decrease from 118.45 Oe (10e = 79.5775 A.m-1) and 126.84 Oe at 0 V to 94.75 Oe and 102.31 Oe at 90 V, respectively, with increasing capacitor voltage, which supplies pulsed current to heat the sample. The effect of flash thermal annealing by pulsed current on the rotational anisotropy (Hrot), the difference value between static and dynamic magnetic anisotropy, is investigated particularly. The highest Hrot is obtained in the sample annealing with 45-V capacitor (3300 μF) voltage. According to the anisotropic magnetoresistance measurements, it can be explained by the fact that the temperature of the sample is around the blocking temperature of the exchange bias system (Tb) at 45 V, the critical temperature where the formation of more unstable antiferromagnetic grains occurs. 展开更多
关键词 rapid annealing SPUTTERING pulsed current rotational anisotropy
下载PDF
Characteristics of Ni-based ohmic contacts on n-type 4H-SiC using different annealing methods 被引量:3
14
作者 Ziwei Zhou Weiwei He +3 位作者 Zhenzhong Zhang Jun Sun Adolf Schöner Zedong Zheng 《Nanotechnology and Precision Engineering》 CAS CSCD 2021年第1期44-47,共4页
Nickel is an excellent ohmic-contact metal on 4H-SiC.This paper discusses the formation mechanism of nickel ohmic contact on 4HSiC by assessing the electrical properties and microstructural change.Under high-temperatu... Nickel is an excellent ohmic-contact metal on 4H-SiC.This paper discusses the formation mechanism of nickel ohmic contact on 4HSiC by assessing the electrical properties and microstructural change.Under high-temperature annealing,the phase of nickel-silicon compound can be observed with X-ray diffraction,and the contact resistance also changes.A comparative experiment was designed to use X-ray diffraction and energy-dispersive spectroscopy to clarify the difference of ohmic-contact material composition and elemental analysis between samples prepared using pulsed laser annealing and rapid thermal annealing.It is found that more Ni2Si and carbon vacancies formed at the interface in the sample prepared using pulsed laser annealing,resulting in a better ohmic-contact characteristic. 展开更多
关键词 Laser annealing rapid thermal annealing Ohmic contact Ni 4H-SIC Carbon vacancy
下载PDF
Selectively converting CO_(2) to HCOOH on Cu-alloys integrated in hematite-driven artificial photosynthetic cells 被引量:1
15
作者 Jiwu Zhao Liang Huang +6 位作者 Lan Xue Zhenjie Niu Zizhong Zhang Zhengxin Ding Rusheng Yuan Xu Lu Jinlin Long 《Journal of Energy Chemistry》 SCIE EI CAS CSCD 2023年第4期601-610,共10页
The integration of electrochemical CO_(2)reduction(CO_(2)RR) and photoelectrochemical water oxidation offers a sustainable access to valuable chemicals and fuels. Here, we develop a rapidly annealed hematite photoanod... The integration of electrochemical CO_(2)reduction(CO_(2)RR) and photoelectrochemical water oxidation offers a sustainable access to valuable chemicals and fuels. Here, we develop a rapidly annealed hematite photoanode with a photocurrent density of 2.83 mA cm^(-2)at 1.7 VRHEto drive the full-reaction. We also present Cu-alloys electrocatalysis extended from CuInSnS4, which are superior in both activity and selectivity for CO_(2)RR. Specifically, the screened CuInSn achieves a CO_(2)to HCOOH Faradaic efficiency of 93% at a cell voltage of-2.0 V by assembling into artificial photosynthesis cell. The stability test of IT exhibits less than 3% degradation over 24 h. Furthermore, in-situ Raman spectroscopy reveals that both CO_(3)^(-2)and CO_(2)are involved in CO_(2)RR as reactants. The preferential affinity of C for H in the ^(*)HCO_(2)intermediate enables an improved HCOOH-selectivity, highlighting the role of multifunctional Cu in reducing the cell voltage and enhancing the photocurrent density. 展开更多
关键词 CO_(2)reduction rapid annealing Fe_(2)O_(3)photoanode CuInSnS_(4) In-situ spectroscopy
下载PDF
A Novel Process for SiGe Core-Shell JAM Transistors Fabrication and Thermal Annealing Effect on Its Electrical Performance
16
作者 Ashish Kumar Wen-Hsi Lee 《Semiconductor Science and Information Devices》 2019年第2期11-18,共8页
In this study,we fabricate Si/SiGe core-shell Junctionless accumulation mode(JAM)FinFET devices through a rapid and novel process with four main steps,i.e.e-beam lithography definition,sputter deposition,alloy combina... In this study,we fabricate Si/SiGe core-shell Junctionless accumulation mode(JAM)FinFET devices through a rapid and novel process with four main steps,i.e.e-beam lithography definition,sputter deposition,alloy combination annealing,and chemical solution etching.The height of Si core is 30 nm and the thickness of Si/SiGe core-shell is about 2 nm.After finishing the fabrication of devices,we widely studied the electrical characteristics of poly Si/SiGe core-shell JAM FinFET transistors from a view of different Lg and Wch.A poly-Si/SiGe core-shell JAMFETs was successfully demonstrated and it also exhibits a superior subthreshold swing of 81mV/dec and high on/off ratio>10^5 when annealing for 1hr at 600℃.The thermal diffusion process condition for this study are 1hr at 600℃ and 6hr at 700℃ for comparison.The annealing condition at 700oC for 6 hours shows undesired electrical characteristics against the other.Results suggests that from over thermal budget causes a plenty of Ge to precipitate against to form SiGe thin film.Annealing JAMFETs at low temperature shows outstanding Subthreshold swing and better swing condition when compared to its counterpart i.e.at higher temperature.This new process can still fabricate a comparable performance to classical planar FinFET in driving current. 展开更多
关键词 Junctionless-accumulation(JAM)FET Junctionless(JL)FET SiGe core-shell rapid thermal anneal Subthreshold swing(SS)
下载PDF
Boosting energy storage performance of low-temperature sputtered CaBi_(2)Nb_(2)O_(9) thin film capacitors via rapid thermal annealing 被引量:4
17
作者 Jing YAN Yanling WANG +1 位作者 Chun-Ming WANG Jun OUYANG 《Journal of Advanced Ceramics》 SCIE CAS CSCD 2021年第3期627-635,共9页
CaBi_(2)Nb_(2)O_(9) thin film capacitors were fabricated on SrRuO_(3)-buffered Pt(111)/Ti/Si(100)substrates by adopting a two-step fabrication process.This process combines a low-temperature sputtering deposition with... CaBi_(2)Nb_(2)O_(9) thin film capacitors were fabricated on SrRuO_(3)-buffered Pt(111)/Ti/Si(100)substrates by adopting a two-step fabrication process.This process combines a low-temperature sputtering deposition with a rapid thermal annealing(RTA)to inhibit the grain growth,for the purposes of delaying the polarization saturation and reducing the ferroelectric hysteresis.By using this method,CaBi_(2)Nb_(2)O_(9) thin films with uniformly distributed nanograins were obtained,which display a large recyclable energy density Wrec≈69 J/cm^(3) and a high energy efficiencyη≈82.4%.A superior fatigue-resistance(negligible energy performance degradation after 10^(9) charge-discharge cycles)and a good thermal stability(from-170 to 150℃)have also been achieved.This two-step method can be used to prepare other bismuth layer-structured ferroelectric film capacitors with enhanced energy storage performances. 展开更多
关键词 bismuth layer-structured ferroelectrics(BLSFs) calcium bismuth niobate(CaBi_(2)Nb_(2)O_(9)) nanograin films rapid thermal annealing(RTA) energy storage fatigue-resistance
原文传递
Research on quantum well intermixing of 680 nm AlGaInP/GaInP semiconductor lasers induced by composited Si-Si_(3)N_(4) dielectric layer 被引量:2
18
作者 Tianjiang He Suping Liu +4 位作者 Wei Li Cong Xiong Nan Lin Li Zhong Xiaoyu Ma 《Journal of Semiconductors》 EI CAS CSCD 2022年第8期46-52,共7页
The optical catastrophic damage that usually occurs at the cavity surface of semiconductor lasers has become the main bottleneck affecting the improvement of laser output power and long-term reliability.To improve the... The optical catastrophic damage that usually occurs at the cavity surface of semiconductor lasers has become the main bottleneck affecting the improvement of laser output power and long-term reliability.To improve the output power of 680 nm AlGaInP/GaInP quantum well red semiconductor lasers,Si-Si_(3)N_(4)composited dielectric layers are used to induce its quantum wells to be intermixed at the cavity surface to make a non-absorption window.Si with a thickness of 100 nm and Si_(3)N_(4)with a thickness of 100 nm were grown on the surface of the epitaxial wafer by magnetron sputtering and PECVD as diffusion source and driving source,respectively.Compared with traditional Si impurity induced quantum well intermixing,this paper realizes the blue shift of 54.8 nm in the nonabsorbent window region at a lower annealing temperature of 600 ℃ and annealing time of 10 min.Under this annealing condition,the wavelength of the gain luminescence region basically does not shift to short wavelength,and the surface morphology of the whole epitaxial wafer remains fine after annealing.The application of this process condition can reduce the difficulty of production and save cost,which provides an effective method for upcoming fabrication. 展开更多
关键词 high power semiconductor laser rapid thermal annealing composited dielectric layer quantum well intermixing optical catastrophic damage nonabsorbent window
下载PDF
Study of magnetic and magneto-optical properties of heavily doped bismuth substitute yttrium iron garnet (Bi∶YIG) film 被引量:2
19
作者 YANG Qinghui ZHANG Huaiwu LIU Yingli 《Rare Metals》 SCIE EI CAS CSCD 2006年第z1期557-561,共5页
The magnetic and magneto-optical properties of heavily doped Bi∶YIG film were studied. The film was deposited by radio frequency magnetron sputtering method and crystallized by rapid recurrent thermal annealing (RRTA... The magnetic and magneto-optical properties of heavily doped Bi∶YIG film were studied. The film was deposited by radio frequency magnetron sputtering method and crystallized by rapid recurrent thermal annealing (RRTA). The results show that the RRTA treated film has good properties both in microwave and optical wave band. The saturation magnetization of the film on different substrates varies from 135.7 to 138.6 kA·m-1. The coercive field of the film on GGG substrate is about 0.32 kA·m-1, while about 0.8-1.43 kA·m-1 on YAG substrate and 1.75 kA·m-1 on Al2O3 substrate. The Faraday angle is about 3-5 (°)·μm-1 when optical wavelength ranges at 450-600 nm. The transmission spectra of the Bi∶YIG films on three substrates has similar change as annealing temperature below 800 ℃. Specially, when annealing temperature is above 800 ℃ a step is observed between 550 and 650 nm wavelength for the film deposited on Al2O3 substrate.Three results are very useful in magneto-optical recording application and integrated microwave devices. 展开更多
关键词 magneto-optical effect rapid recurrent thermal annealing (RRTA) Faraday effect radio frequency magnetron sputtering
下载PDF
YIG Thin Film for RF Integrated Inductor 被引量:3
20
作者 刘锋 叶双莉 《Journal of Wuhan University of Technology(Materials Science)》 SCIE EI CAS 2017年第3期557-561,共5页
The yttrium iron garnet(YIG) thin films prepared by the sol-gel method and rapid thermal annealing(RTA) process for integrated inductor are investigated. The X-ray diffraction(XRD) results indicate that the YIG ... The yttrium iron garnet(YIG) thin films prepared by the sol-gel method and rapid thermal annealing(RTA) process for integrated inductor are investigated. The X-ray diffraction(XRD) results indicate that the YIG film annealed above 650 ℃ is poly-crystalline with single-phase garnet structure. Moreover, it can be found that the initial permeability μi, saturation magnetization MS and coercivity Hc of these YIG films increase with increasing RTA temperature. Low temperature annealing after crystallization can further improve the magnetic properties of YIG film. Thereby, a planar integrated inductor in the presence of Si substrate/SiO2 layer/Y2.8Bi0.2Fe5O12 thin film/Cu spiral coil structure is fabricated successfully by the standard IC processes. Due to the magnetic enhancement originated from YIG film, the inductance L and quality factor Q of the inductor with YIG film are improved in a certain frequency range. 展开更多
关键词 Y2.8Bi0.2Fe5O12 thin films sol-gel method rapid thermal annealing integrated inductor
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部