期刊文献+
共找到262篇文章
< 1 2 14 >
每页显示 20 50 100
Improved RF power performance of InAlN/GaN HEMT by optimizing rapid thermal annealing process for high-performance low-voltage terminal applications
1
作者 周雨威 宓珉瀚 +9 位作者 王鹏飞 龚灿 陈怡霖 陈治宏 刘捷龙 杨眉 张濛 朱青 马晓华 郝跃 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第12期474-480,共7页
Improved radio-frequency(RF)power performance of InAlN/GaN high electron mobility transistor(HEMT)is achieved by optimizing the rapid thermal annealing(RTA)process for high-performance low-voltage terminal application... Improved radio-frequency(RF)power performance of InAlN/GaN high electron mobility transistor(HEMT)is achieved by optimizing the rapid thermal annealing(RTA)process for high-performance low-voltage terminal applications.By optimizing the RTA temperature and time,the optimal annealing condition is found to enable low parasitic resistance and thus a high-performance device.Besides,compared with the non-optimized RTA HEMT,the optimized one demonstrates smoother ohmic metal surface morphology and better heterojunction quality including the less degraded heterojunction sheet resistance and clearer heterojunction interfaces as well as negligible material out-diffusion from the barrier to the channel and buffer.Benefiting from the lowered parasitic resistance,improved maximum output current density of 2279 mA·mm^(-1)and higher peak extrinsic transconductance of 526 mS·mm^(-1)are obtained for the optimized RTA HEMT.In addition,due to the superior heterojunction quality,the optimized HEMT shows reduced off-state leakage current of 7×10^(-3)mA·mm^(-1)and suppressed current collapse of only 4%,compared with those of 1×10^(-1)mA·mm^(-1)and 15%for the non-optimized one.At 8 GHz and V_(DS)of 6 V,a significantly improved power-added efficiency of 62%and output power density of 0.71 W·mm^(-1)are achieved for the optimized HEMT,as the result of the improvement in output current,knee voltage,off-state leakage current,and current collapse,which reveals the tremendous advantage of the optimized RTA HEMT in high-performance low-voltage terminal applications. 展开更多
关键词 InAlN/GaN rapid thermal annealing low voltage RF power performance terminal applications
下载PDF
Effects of rapid thermal annealing on the room-temperature NO_2-sensing properties of WO_3 thin films under LED radiation 被引量:1
2
作者 胡明 贾丁立 +2 位作者 刘青林 李明达 孙鹏 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第6期615-620,共6页
WO3 thin films were sputtered onto alumina substrates by DC facing-target magnetron sputtering. One sample was rapid-thermal-annealed (RTA) at 600 ℃ in a gas mixture of N2:O2 = 4 : 1, and as a comparison, another... WO3 thin films were sputtered onto alumina substrates by DC facing-target magnetron sputtering. One sample was rapid-thermal-annealed (RTA) at 600 ℃ in a gas mixture of N2:O2 = 4 : 1, and as a comparison, another was conventionally thermal-annealed at 600 ℃ in air. The morphology of both was investigated by scanning electron microscopy (SEM) and atomic force microscopy (AFM), and the crystallization structure and phase identification were characterized by X-ray diffraction (XRD). The NO2-sensing measurements were taken under LED light at room temperature. The sensitivity of the RTA-treated sample was found to be high, up to nearly 100, whereas the sensitivity of the conventionally thermal-annealed sample was about five under the same conditions. From the much better selectivity and response-recovery characteristics, it can be concluded that compared to conventional thermal annealing, RTA has a greater effect on the NO2-sensing properties of WO3 thin films. 展开更多
关键词 gas sensor tungsten-oxide thin film rapid thermal annealing LED
下载PDF
Effects of rapid thermal annealing on the morphology and optical property of ultrathin InSb film deposited on SiO_2/Si substrate 被引量:1
3
作者 李邓玥 李洪涛 +1 位作者 孙合辉 赵连城 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第2期499-502,共4页
Ultrathin InSb films on SiO2/Si substrates are prepared by radio frequency(RF) magnetron sputtering and rapid thermal annealing(RTA) at 300,400,and 500℃,respectively.X-ray diffraction(XRD) indicates that InSb f... Ultrathin InSb films on SiO2/Si substrates are prepared by radio frequency(RF) magnetron sputtering and rapid thermal annealing(RTA) at 300,400,and 500℃,respectively.X-ray diffraction(XRD) indicates that InSb film treated by RTA at 500℃,which is higher than its melting temperature(about 485℃),shows a monocrystalline-like feature.A high-resolution transmission electron microscopy(HRTEM) micrograph shows that melt recrystallization of InSb film on SiO2/Si(111) substrate is along the(111) planes.The transmittances of InSb films decrease and the optical band gaps redshift from 0.24 eV to 0.19 eV with annealing temperature increasing from 300℃ to 500℃,which is indicated by Fourier transform infrared spectroscopy(FTIR) measurement.The observed changes demonstrate that RTA is a viable technique for improving characteristics of InSb films,especially the melt-recrystallized film treated by RTA at 500℃. 展开更多
关键词 XOI solid-phase recrystallization rapid thermal annealing
下载PDF
Research on the photoluminescence of spectral broadening by rapid thermal annealing on InAs/GaAs quantum dots 被引量:1
4
作者 Dandan Ning Yanan Chen +4 位作者 Xinkun Li Dechun Liang Shufang Ma Peng Jin Zhanguo Wang 《Journal of Semiconductors》 EI CAS CSCD 2020年第12期1-6,共6页
Photoluminescence (PL) test was conducted to investigate the effect of rapid thermal annealing (RTA) on the opticalperformance of self-assembled InAs/GaAs quantum dots (QDs) at the temperatures of 16 and 300 K. It was... Photoluminescence (PL) test was conducted to investigate the effect of rapid thermal annealing (RTA) on the opticalperformance of self-assembled InAs/GaAs quantum dots (QDs) at the temperatures of 16 and 300 K. It was found that after RTAtreatment, the PL spectrum of the QDs sample had a large blue-shift and significantly broadened at 300 K. Compared with theas-grown InAs QDs sample, the PL spectral width has increased by 44.68 meV in the InAs QDs sample RTA-treated at800 ℃. The excitation power-dependent PL measurements showed that the broadening of the PL peaks of the RTA-treatedInAs QDs should be related to the emission of the ground state (GS) of different-sized InAs QDs, the InAs wetting layer (WL)and the In0.15Ga0.85As strain reduction layer (SRL) in the epitaxial InAs/GaAs layers. 展开更多
关键词 quantum dots rapid thermal annealing PHOTOLUMINESCENCE spectral width
下载PDF
Solid-phase Crystallization of Amorphous Silicon Films by Rapid Thermal Annealing 被引量:5
5
作者 JINRui-min LUJing-xiao LIRui WANGHai-yan FENGTuan-hui 《Semiconductor Photonics and Technology》 CAS 2005年第1期37-39,共3页
The morphous silicon films prepared by PECVD at substrate temperatures of 30℃ have been crystallized by rapid thermal annealing method, the budget of time-temperature in the annealing process is 600℃ for 120s,... The morphous silicon films prepared by PECVD at substrate temperatures of 30℃ have been crystallized by rapid thermal annealing method, the budget of time-temperature in the annealing process is 600℃ for 120s, 850℃ for 120s, and 950℃ for 120s. The results indicate the crystallization at 850℃ and 950℃ are better as shown in micro-Raman scattering and scanning electronic microscope. 展开更多
关键词 固相晶化 非晶硅 快速热退火 PECVD
下载PDF
Effect of sputtering pressure and rapid thermal annealing on optical properties of Ta_2O_5 thin films 被引量:1
6
作者 周继承 罗迪恬 +1 位作者 李幼真 刘正 《中国有色金属学会会刊:英文版》 EI CSCD 2009年第2期359-363,共5页
Ta2O5 thin films were deposited by DC reactive magnetron sputtering followed by rapid thermal annealing(RTA). Influence of sputtering pressure and annealing temperature on surface characteristics,microstructure and op... Ta2O5 thin films were deposited by DC reactive magnetron sputtering followed by rapid thermal annealing(RTA). Influence of sputtering pressure and annealing temperature on surface characteristics,microstructure and optical property of Ta2O5 thin films were investigated. As-deposited Ta2O5 thin films are amorphous. It takes hexagonal structure(δ-Ta2O5) after being annealed at 800 ℃. A transition from δ-Ta2O5 to orthorhombic structure(L-Ta2O5) occurs at 900-1 000 ℃. Surface roughness is decreased after annealing at low temperature. Refractive index and extinction coefficient are decreased when annealing temperature is increased. 展开更多
关键词 直流反应磁控溅射 快速热退火 光学性质 钽薄膜 压力 薄膜沉积 退火温度 TA2O5
下载PDF
Effects of Rapid Thermal Annealing on OpticalProperties of GaInNAs/ GaAs Single Quantum Well Grown by Plasma- Assisted Molecular Beam Epitaxy 被引量:1
7
作者 张伟 潘钟 +2 位作者 李联合 王学宇 林耀望 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2000年第10期974-978,共5页
The effects of Rapid Thermal Annealing (RTA) on the optical properties of GaInNAs/GaAs Single Quantum Well (SQW) grown by plasma assisted molecular beam epitaxy are investigated. Ion removal magnets were applied to re... The effects of Rapid Thermal Annealing (RTA) on the optical properties of GaInNAs/GaAs Single Quantum Well (SQW) grown by plasma assisted molecular beam epitaxy are investigated. Ion removal magnets were applied to reduce the ion damage during the growth process and the optical properties of GaInNAs/GaAs SQW are remarkably improved. RTA was carried out at 650℃ and its effect was studied by the comparising the room\|temperature PhotoLuminescence (PL) spectra for the non ion removed (grown without magnets) sample with for the ion removed (grown with magnets) one. The more significant improvement of PL characteristics for non ion removed GaInNAs/GaAs SQW after annealing (compared with those for ion removed) indicates that the nonradiative centers removed by RTA at 650℃ are mainly originated from ion damage. After annealing the PL blue shift for non ion removed GaInNAs/GaAs SQW is much larger than those for InGaAs/GaAs and ion removed GaInNAs/GaAs SQW. It is found that the larger PL blue shift of GaInNAs/GaAs SQW is due to the defect assisted In Ga interdiffusion rather than defect assisted N As interdiffusion. 展开更多
关键词 GAINNAS 砷化镓 快速热退火 光学特性
下载PDF
High quality NbTiN films fabrication and rapid thermal annealing investigation
8
作者 葛欢 金贻荣 宋小会 《Chinese Physics B》 SCIE EI CAS CSCD 2019年第7期439-443,共5页
NbTiN thin films are good candidates for applications including single-photon detector, kinetic inductance detector, hot electron bolometer, and superconducting quantum computing circuits because of their favorable ch... NbTiN thin films are good candidates for applications including single-photon detector, kinetic inductance detector, hot electron bolometer, and superconducting quantum computing circuits because of their favorable characteristics,such as good superconducting properties and easy fabrication.In this work, we systematically investigated the growth of high-quality NbTiN films with different thicknesses on Si substrates by reactive DC-magnetron sputtering method.After optimizing the growth conditions, such as the gas pressure, Ar/N2 mixture ratio, and sputtering power, we obtained films with excellent superconducting properties.A high superconducting transition temperature of 15.5 K with narrow transition width of 0.03 K was obtained in a film of 300 nm thickness with surface roughness of less than 0.2 nm.In an ultra-thin film of 5 nm thick, we still obtained a transition temperature of 7.6 K.In addition, rapid thermal annealing(RTA) in atmosphere of nitrogen or nitrogen and hydrogen mixture was studied to improve the film quality.The results showed that Tc and crystal size of the NbTiN films were remarkably increased by RTA.For ultrathin films, the annealing in N2/H2 mixture had better effect than that in pure N2.The Tc of 10 nm films improved from 9.6 K to 10.3 K after RTA in N2/H2 mixture at 450℃. 展开更多
关键词 SUPERCONDUCTING TRANSITION temperature surface ROUGHNESS NbTiN film rapid thermal annealing
下载PDF
Fabrication of VO_2 thin film by rapid thermal annealing in oxygen atmosphere and its metal–insulator phase transition properties
9
作者 梁继然 吴劢君 +4 位作者 胡明 刘剑 朱乃伟 夏晓旭 陈弘达 《Chinese Physics B》 SCIE EI CAS CSCD 2014年第7期617-621,共5页
Vanadium dioxide thin films have been fabricated through sputtering vanadium thin films and rapid thermal annealing in oxygen. The microstructure and the metal-insulator transition properties of the vanadium dioxide t... Vanadium dioxide thin films have been fabricated through sputtering vanadium thin films and rapid thermal annealing in oxygen. The microstructure and the metal-insulator transition properties of the vanadium dioxide thin films were inves- tigated by X-ray diffraction, X-ray photoelectron spectroscopy, and a spectrometer. It is found that the preferred orientation of the vanadium dioxide changes from (111) to (011 ) with increasing thickness of the vanadium thin film after rapid thermal annealing. The vanadium dioxide thin films exhibit an obvious metal-insulator transition with increasing temperature, and the phase transition temperature decreases as the film thickness increases. The transition shows hysteretic behaviors, and the hysteresis width decreases as the film thickness increases due to the higher concentration carriers resulted from the uncompleted lattice. The fabrication of vanadium dioxide thin films with higher concentration carriers will facilitate the nature study of the metal-insulator transition. 展开更多
关键词 vanadium dioxide metal-insulator transition rapid thermal annealing HYSTERESIS
下载PDF
Charge storage characteristics of hydrogenated nanocrystalline silicon film prepared by rapid thermal annealing
10
作者 李志刚 龙世兵 +4 位作者 刘明 王丛舜 贾锐 闾锦 施毅 《Chinese Physics B》 SCIE EI CAS CSCD 2007年第3期795-798,共4页
The early stages of hydrogenated nanocrystalline silicon (nc-Si:H) films deposited by plasma-enhanced chemical vapour deposition were characterized by atomic force microscopy. To increase the density of nanocrystal... The early stages of hydrogenated nanocrystalline silicon (nc-Si:H) films deposited by plasma-enhanced chemical vapour deposition were characterized by atomic force microscopy. To increase the density of nanocrystals in the nc-Si:H films, the films were annealed by rapid thermal annealing (RTA) at different temperatures and then analysed by Raman spectroscopy. It was found that the recrystallization process of the film was optimal at around 1000℃. The effects of different RTA conditions on charge storage were characterized by capacitance-voltage measurement. Experimental results show that nc-Si:H films obtained by RTA have good charge storage characteristics for nonvolatile memory. 展开更多
关键词 NC-SI hydrogenated nanocrystalline silicon charge storage rapid thermal annealing
下载PDF
Effects of high temperature rapid thermal annealing on Ge films grown on Si(001) substrate
11
作者 刘智 成步文 +3 位作者 李亚明 李传波 薛春来 王启明 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第11期463-466,共4页
Tensile strain, crystal quality, and surface morphology of 500 nm thick Ge films were improved after rapid thermal annealing at 900 ℃ for a short period (〈 20 s). The films were grown on Si(001) substrates by ul... Tensile strain, crystal quality, and surface morphology of 500 nm thick Ge films were improved after rapid thermal annealing at 900 ℃ for a short period (〈 20 s). The films were grown on Si(001) substrates by ultra-high vacuum chemical vapor deposition. These improvements are attributed to relaxation and defect annihilation in the Ge films. However, after prolonged (〉 20 s) rapid thermal annealing, tensile strain and crystal quality degenerated. This phenomenon results from intensive Si-Ge mixing at high temperature. 展开更多
关键词 Ge film rapid thermal annealing tensile strain Si-Ge mixing
下载PDF
FLUORINE BEHAVIOR IN BF_2^+ IMPLANTED POLYSILICON FILMS SUBJECTED TO RAPID THERMAL ANNEALING
12
作者 林成鲁 倪如山 邹世昌 《Journal of Electronics(China)》 1990年第2期190-193,共4页
The physical and electrical properties of BF<sub>2</sub><sup>+</sup> implanted polysilicon films subjectedto rapid thermal annealing(RTA)are presented.It is found that the out diffusion of F ... The physical and electrical properties of BF<sub>2</sub><sup>+</sup> implanted polysilicon films subjectedto rapid thermal annealing(RTA)are presented.It is found that the out diffusion of F and itssegregation at polysilicon/silicon oxide interface during RTA are the major causes of F anomalousmigration.Fluorine bubbles were observed in BF<sub>2</sub><sup>+</sup> implanted samples at doses of 1×10<sup>15</sup> and5×10<sup>15</sup>cm<sup>-2</sup> after RTA. 展开更多
关键词 Ion IMPLANTATION rapid thermal annealing FLUORINE BUBBLE
下载PDF
Performance improvement of CdS/Cu(In,Ga)Se_2 solar cells after rapid thermal annealing
13
作者 陈东生 杨洁 +7 位作者 徐飞 周平华 杜汇伟 石建伟 于征汕 张玉红 Brian Bartholomeusz 马忠权 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第1期564-568,共5页
In this paper, we investigated the effect of rapid thermal annealing (RTA) on solar cell performance. An opto-electric conversion efficiency of 11.75% (Voc = 0.64 V, Jsc = 25.88 mA/cm2, FF=72.08%) was obtained und... In this paper, we investigated the effect of rapid thermal annealing (RTA) on solar cell performance. An opto-electric conversion efficiency of 11.75% (Voc = 0.64 V, Jsc = 25.88 mA/cm2, FF=72.08%) was obtained under AM 1.5G when the cell was annealed at 300℃ for 30 s. The annealed solar cell showed an average absolute efficiency 1.5% higher than that of the as-deposited one. For the microstructure analysis and the physical phase confirmation, X-ray diffraction (XRD), Raman spectra, front surface reflection (FSR), internal quantum efficiency (IQE), and X-ray photoelectron spectroscopy (XPS) were respectively applied to distinguish the causes inducing the efficiency variation. All experimental results implied that the RTA eliminated recombination centers at the p-n junction, reduced the surface optical losses, enhanced the blue response of the CdS buffer layer, and improved the ohmic contact between Mo and Cu(In, Ga)Se2 (CIGS) layers. This leaded to the improved performance of CIGS solar cell. 展开更多
关键词 CdS/Cu(In Ga)Se2 solar cell rapid thermal annealing performance improvement
下载PDF
Characterization of low-resistance ohmic contacts to heavily carbon-doped n-type InGaAsBi films treated by rapid thermal annealing
14
作者 周书星 艾立鹍 +4 位作者 齐鸣 徐安怀 颜家圣 李树森 金智 《Chinese Physics B》 SCIE EI CAS CSCD 2021年第2期472-475,共4页
Carbon-doped In Ga As Bi films on In P:Fe(100)substrates have been grown by gas source molecular beam epitaxy(GSMBE).The electrical properties and non-alloyed Ti/Pt/Au contact resistance of n-type carbon-doped In Ga A... Carbon-doped In Ga As Bi films on In P:Fe(100)substrates have been grown by gas source molecular beam epitaxy(GSMBE).The electrical properties and non-alloyed Ti/Pt/Au contact resistance of n-type carbon-doped In Ga As Bi films were characterized by Van der Pauw-Hall measurement and transmission line method(TLM)with and without rapid thermal annealing(RTA).It was found that the specific contact resistance decreases gradually with the increase of carrier concentration.The electron concentration exhibits a sharp increase,and the specific contact resistance shows a noticeable reduction after RTA.With RTA,the In Ga As Bi film grown under CBr4 supply pressure of 0.18 Torr exhibited a high electron concentration of 1.6×10^(21) cm^(-3) and achieved an ultra-low specific contact resistance of 1×10^(-8)Ω·cm^(2),revealing that contact resistance depends greatly on the tunneling effect. 展开更多
关键词 InGaAsBi electrical properties contact resistance rapid thermal annealing
下载PDF
Comparison of Poly-Si Thin Films Prepared by Conventional Furnace Annealing and Pulsed Rapid Thermal Annealing
15
作者 JIN Rui-min ZHENG Xiao-yan +3 位作者 CHEN Lan-li LUO Peng-hui GUO Xin-feng LU Jing-xiao 《Semiconductor Photonics and Technology》 CAS 2009年第2期117-119,共3页
Amorphous silicon films prepared by PECVD on glass substrate were crystallized by conventional furnace annealing(FA) and rapid thermal annealing(RTA),respectively. From the Raman spectra and scanning electronic micros... Amorphous silicon films prepared by PECVD on glass substrate were crystallized by conventional furnace annealing(FA) and rapid thermal annealing(RTA),respectively. From the Raman spectra and scanning electronic microscope(SEM),it found that the thin films made by RTA had smooth and perfect structure,while the thin films annealed by FA had a higher degree of structural disorder. 展开更多
关键词 非晶硅薄膜 PECVD 结晶炉退火 玻璃基板
下载PDF
Ll_0 FePt thin films with 001crystalline growth fabricated by ZnO addition and rapid thermal annealing
16
作者 刘曦 Ishio Shunji 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第8期627-631,共5页
FePt films with a high degree of order S of the L10 structure (S 〉 0.90) and well defined [001] crystalline growth perpendicular to the film plane are fabricated on thermally oxidized Si substrates by the addition ... FePt films with a high degree of order S of the L10 structure (S 〉 0.90) and well defined [001] crystalline growth perpendicular to the film plane are fabricated on thermally oxidized Si substrates by the addition of ZnO and a successive rapid thermal annealing (RTA) process. The optimum condition to prepare high-ordering L10 FePtZnO films is 20 vol% ZnO addition and 450 ℃ annealing. The effect of the ZnO additive on the ordering process of the L10 FePtZnO films is discussed. In the annealing process, Zn atoms move to the film surface and evaporate. The motion of the Zn atoms accelerates the intergrain exchange and decreases the ordering temperature. 展开更多
关键词 FePt thin film magnetic recording material rapid-thermal-annealing oxide addition
下载PDF
Effect of Metal Contact and Rapid Thermal Annealing on Electrical Characteristics of Graphene Matrix
17
作者 S, Fahad M. Ali +3 位作者 S. Ahmed S. Khan S. Alam S. Akhtar 《Chinese Physics Letters》 SCIE CAS CSCD 2017年第10期65-69,共5页
Development of graphene field effect transistors (GFETs) faces a serious challenge of graphene interface to the dielectric material. A single layer of intrinsic graphene has an average sheet resistance of the order ... Development of graphene field effect transistors (GFETs) faces a serious challenge of graphene interface to the dielectric material. A single layer of intrinsic graphene has an average sheet resistance of the order of 1-5 kΩ/□. The intrinsic nature of graphene leads to higher contact resistance yielding into the outstanding properties of the material. We design a graphene matrix with minimized sheet resistance of 0.185 kΩ/□ with Ag contacts. The developed matrices on silicon substrates provide a variety of transistor design options for subsequent fabrication. The graphene layer is developed over 400 nm nickel in such a way as to analyze hypersensitive electrical properties of the interface for exfoliation. This work identifies potential of the design in the applicability of few-layer GFETs with less process steps with the help of analyzing the effect of metal contact and post-process anneMing on its electrical fabrication. 展开更多
关键词 Effect of Metal Contact and rapid thermal annealing on Electrical Characteristics of Graphene Matrix
下载PDF
Enhancement of Crystalline Quality of Strained InAs/InP Quantum Well Structures by Rapid Thermal Annealing
18
作者 XING Q J ZHANG B WANG S M(Beijing University,Beijing 100871,CHN)Brebner J L(Department of Physics,University or Montreal,Quebec,H3C 3J7 CAN) 《Semiconductor Photonics and Technology》 CAS 1996年第2期79-83,129,共6页
EnhancementofCrystallineQualityofStrainedInAs/InPQuantumWellStructuresbyRapidThermalAnnealingXINGQJ;ZHANGB;W... EnhancementofCrystallineQualityofStrainedInAs/InPQuantumWellStructuresbyRapidThermalAnnealingXINGQJ;ZHANGB;WANGSM(BeijingUniv... 展开更多
关键词 量子势阱 快速热退火 深发射级 光致发光 结晶质量
下载PDF
A Novel Process for SiGe Core-Shell JAM Transistors Fabrication and Thermal Annealing Effect on Its Electrical Performance
19
作者 Ashish Kumar Wen-Hsi Lee 《Semiconductor Science and Information Devices》 2019年第2期11-18,共8页
In this study,we fabricate Si/SiGe core-shell Junctionless accumulation mode(JAM)FinFET devices through a rapid and novel process with four main steps,i.e.e-beam lithography definition,sputter deposition,alloy combina... In this study,we fabricate Si/SiGe core-shell Junctionless accumulation mode(JAM)FinFET devices through a rapid and novel process with four main steps,i.e.e-beam lithography definition,sputter deposition,alloy combination annealing,and chemical solution etching.The height of Si core is 30 nm and the thickness of Si/SiGe core-shell is about 2 nm.After finishing the fabrication of devices,we widely studied the electrical characteristics of poly Si/SiGe core-shell JAM FinFET transistors from a view of different Lg and Wch.A poly-Si/SiGe core-shell JAMFETs was successfully demonstrated and it also exhibits a superior subthreshold swing of 81mV/dec and high on/off ratio>10^5 when annealing for 1hr at 600℃.The thermal diffusion process condition for this study are 1hr at 600℃ and 6hr at 700℃ for comparison.The annealing condition at 700oC for 6 hours shows undesired electrical characteristics against the other.Results suggests that from over thermal budget causes a plenty of Ge to precipitate against to form SiGe thin film.Annealing JAMFETs at low temperature shows outstanding Subthreshold swing and better swing condition when compared to its counterpart i.e.at higher temperature.This new process can still fabricate a comparable performance to classical planar FinFET in driving current. 展开更多
关键词 Junctionless-accumulation(JAM)FET Junctionless(JL)FET SiGe core-shell rapid thermal anneal Subthreshold swing(SS)
下载PDF
Characteristics of Ni-based ohmic contacts on n-type 4H-SiC using different annealing methods 被引量:3
20
作者 Ziwei Zhou Weiwei He +3 位作者 Zhenzhong Zhang Jun Sun Adolf Schöner Zedong Zheng 《Nanotechnology and Precision Engineering》 CAS CSCD 2021年第1期44-47,共4页
Nickel is an excellent ohmic-contact metal on 4H-SiC.This paper discusses the formation mechanism of nickel ohmic contact on 4HSiC by assessing the electrical properties and microstructural change.Under high-temperatu... Nickel is an excellent ohmic-contact metal on 4H-SiC.This paper discusses the formation mechanism of nickel ohmic contact on 4HSiC by assessing the electrical properties and microstructural change.Under high-temperature annealing,the phase of nickel-silicon compound can be observed with X-ray diffraction,and the contact resistance also changes.A comparative experiment was designed to use X-ray diffraction and energy-dispersive spectroscopy to clarify the difference of ohmic-contact material composition and elemental analysis between samples prepared using pulsed laser annealing and rapid thermal annealing.It is found that more Ni2Si and carbon vacancies formed at the interface in the sample prepared using pulsed laser annealing,resulting in a better ohmic-contact characteristic. 展开更多
关键词 Laser annealing rapid thermal annealing Ohmic contact Ni 4H-SIC Carbon vacancy
下载PDF
上一页 1 2 14 下一页 到第
使用帮助 返回顶部