期刊文献+
共找到30篇文章
< 1 2 >
每页显示 20 50 100
基于FPGA+ARM的液晶光阀驱动控制系统设计
1
作者 熊雨乔 韩文波 《电视技术》 2023年第3期115-117,共3页
利用液晶光阀实现星图模拟,为星敏感器提供星图导航,在星敏感器地面功能验证方面得到广泛应用。采用现场可编程逻辑门阵列(Field Programmable Gate Array,FPGA)+ARM架构设计一套液晶光阀驱动控制系统,采用数字视频接口(Digital Visual ... 利用液晶光阀实现星图模拟,为星敏感器提供星图导航,在星敏感器地面功能验证方面得到广泛应用。采用现场可编程逻辑门阵列(Field Programmable Gate Array,FPGA)+ARM架构设计一套液晶光阀驱动控制系统,采用数字视频接口(Digital Visual Interface,DVI)及视频解码技术、DDR2 SDRAM乒乓操作技术、并行D/A转换技术保证星图高刷新率下的显示。同时采用过驱动技术,改善动态星模拟器高刷新率引起的运动伪像。系统可实现2 048×1 556@60 Hz的液晶光阀星图显示,保证动态星模拟器星图刷新时间小于50 ms的要求,为高分辨率、高刷新率的动态星模拟器星图显示设备研制提供技术支持。 展开更多
关键词 星图显示 驱动控制 现场可编程逻辑门阵列(fpga) 液晶光阀
下载PDF
基于FPGA的一种智能交通红绿灯设计 被引量:9
2
作者 王维松 章伟 +1 位作者 王金生 梁齐 《计算机应用与软件》 CSCD 北大核心 2013年第1期200-202,234,共4页
论述一种基于FPGA的交通红绿灯设计方案,可以有效解决城市中十字路口各方向车流量不均衡,特别是同一条道路相对方向车流量不均衡的问题。对相对方向采用不同步的红绿灯信号,减小了十字路口交通资源的浪费情况,实现对交通信号的智能控制... 论述一种基于FPGA的交通红绿灯设计方案,可以有效解决城市中十字路口各方向车流量不均衡,特别是同一条道路相对方向车流量不均衡的问题。对相对方向采用不同步的红绿灯信号,减小了十字路口交通资源的浪费情况,实现对交通信号的智能控制,极大提高十字路口的车辆运行效率。 展开更多
关键词 红绿灯 无线收发模块 fpga智能控制
下载PDF
十字路口智能交通灯控制系统的FPGA实现 被引量:8
3
作者 王维松 王金生 +1 位作者 章伟 梁齐 《电子科技》 2012年第9期37-39,44,共4页
针对现实中越来越严重的城市交通拥堵现象,提出了一种城市十字路口交通信号灯控制与FPGA实现的新方法。解决了各车道车流量不均衡所造成的十字路口交通资源浪费问题,设计的智能交通控制系统利用对相向车道采用不同步的红绿灯信号控制方... 针对现实中越来越严重的城市交通拥堵现象,提出了一种城市十字路口交通信号灯控制与FPGA实现的新方法。解决了各车道车流量不均衡所造成的十字路口交通资源浪费问题,设计的智能交通控制系统利用对相向车道采用不同步的红绿灯信号控制方法,能够减少交通资源浪费,大幅提高十字路口的车辆通行效率。 展开更多
关键词 fpga 交通信号灯 智能控制 无线收/发模块
下载PDF
交通信号灯控制电路的FPGA设计 被引量:4
4
作者 武玉华 周玉坤 +1 位作者 秦源 王红红 《微计算机信息》 北大核心 2008年第2期199-200,共2页
介绍了一种交通信号灯控制电路的功能及其设计方案,给出了具体电路的逻辑设计结果,并通过QuartusⅡ5.0软件的仿真验证以及硬件的调试,证实该电路能够实现对红黄绿交通灯的安全自动控制。
关键词 交通灯控制 fpga 定时 译码
下载PDF
基于FPGA的交通信号灯控制系统 被引量:4
5
作者 楚岩 汪海光 邵严 《现代电子技术》 2012年第9期184-186,共3页
为了解决传统交通灯控制系统常采用单片机或PLC等控制芯片所具有的控制不精确、系统外围电路复杂、程序修改不灵活、成本偏高等缺点,利用VHDL硬件描述语言,通过QuartusⅡ软件和以CycloneⅡ系列FPGA为核心的开发板,完成交通信号灯控制系... 为了解决传统交通灯控制系统常采用单片机或PLC等控制芯片所具有的控制不精确、系统外围电路复杂、程序修改不灵活、成本偏高等缺点,利用VHDL硬件描述语言,通过QuartusⅡ软件和以CycloneⅡ系列FPGA为核心的开发板,完成交通信号灯控制系统的设计。该系统具有电路简单、可靠性强、运算速度高、参数易修改等特点。通过软件进行仿真,并在KX_7C5TP型开发板下载模拟,结果表明系统工作正常,控制器能完成预定的设计要求。 展开更多
关键词 交通信号灯控制系统 VHDL EDA fpga
下载PDF
基于FPGA技术的交通灯控制系统设计 被引量:2
6
作者 李国栋 任志平 《现代电子技术》 2008年第17期190-192,共3页
交通灯控制系统在城市交通监管中起着极其重要的作用。应用VHDL语言,在Altera公司的Max+PlusⅡ软件环境下,通过模块化编程完成了灯亮时间可调的交通灯控制系统设计,并进行了逻辑综合、仿真和硬件下载,系统的软件仿真和实验测试结果满足... 交通灯控制系统在城市交通监管中起着极其重要的作用。应用VHDL语言,在Altera公司的Max+PlusⅡ软件环境下,通过模块化编程完成了灯亮时间可调的交通灯控制系统设计,并进行了逻辑综合、仿真和硬件下载,系统的软件仿真和实验测试结果满足了设计要求,达到了预期的效果。由于设计采用了EDA技术,不但大大缩短了开发研制周期,提高了设计效率,而且使系统具有设计灵活,实现简单,性能稳定的特点。 展开更多
关键词 交通灯控制 fpga VHDL 仿真
下载PDF
基于FPGA的交通灯控制系统设计 被引量:4
7
作者 刘艳昌 王廷雨 《河南科技学院学报(自然科学版)》 2013年第4期67-73,共7页
针对传统利用单片机或PLC等控制芯片设计交通灯控制系统存在控制精度不高、外围电路复杂、程序修改不灵活、成本较高的问题,采用Verilog HDL语言,以QuartusII软件为系统设计平台,对各功能模块进行设计.在原理图文件中添加各功能模块元... 针对传统利用单片机或PLC等控制芯片设计交通灯控制系统存在控制精度不高、外围电路复杂、程序修改不灵活、成本较高的问题,采用Verilog HDL语言,以QuartusII软件为系统设计平台,对各功能模块进行设计.在原理图文件中添加各功能模块元件符号,然后通过连线组合各底层模块来实现顶层模块设计.系统具有参数修改灵活、外围电路少、控制精度高、可靠性强、成本低等优点.通过软件进行仿真,并在ASK2CB开发板上下载模拟.结果表明:控制系统工作正常,满足设计要求. 展开更多
关键词 fpga 交通灯控制系统 Quartus II VERILOG HDL
下载PDF
基于FPGA的交通信号控制器的设计 被引量:7
8
作者 王正勇 《电子测量技术》 2008年第10期188-190,共3页
为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了包含左转指示灯在内的具有4种信号灯和倒计时显示器的交通信号灯控制系统,在Quartus Ⅱ下进行仿真,并下载到FPGA中制... 为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了包含左转指示灯在内的具有4种信号灯和倒计时显示器的交通信号灯控制系统,在Quartus Ⅱ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行。使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 展开更多
关键词 交通信号灯 控制系统VHDL fpga QuartusⅡ
下载PDF
基于FPGA的模拟路灯控制系统的设计 被引量:3
9
作者 覃洪英 《长江大学学报(自然科学版)》 CAS 2011年第10期95-98,278,共4页
以FPGA芯片为控制核心,设计了一个模拟路灯控制系统,该系统可以实现自动设定显示开关灯时间、根据环境明暗和交通状况自动开关灯等功能。外围硬件电路主要包括光线信号和位置信号的采集、按键输入、路灯指示和故障检测以及声光报警和显... 以FPGA芯片为控制核心,设计了一个模拟路灯控制系统,该系统可以实现自动设定显示开关灯时间、根据环境明暗和交通状况自动开关灯等功能。外围硬件电路主要包括光线信号和位置信号的采集、按键输入、路灯指示和故障检测以及声光报警和显示电路。FPGA核心控制电路部分主要包括模式调节模块、正常时间计时及调试模块、路灯同步定时和分别定时开关灯模块、光线控制模块、交通状况控制模块和译码显示模块。每个模块都通过了编译调试且仿真测试结果正确,实现了模拟路灯控制系统的控制功能,达到了设计要求。 展开更多
关键词 fpga 路灯控制 定时 模块
下载PDF
基于FPGA的交通灯控制器实现 被引量:8
10
作者 杨贵 郑善贤 《中国仪器仪表》 2003年第9期41-43,共3页
传统交通灯控制器多数由单片机或PLC实现。本论文介绍一种用FPGA实现交通灯控制器的设计方法。
关键词 交通灯控制器 单片机 PLC fpga 设计 VHDL MAXPLUSⅡ
下载PDF
基于FPGA的交通灯的设计与实现 被引量:6
11
作者 袁海林 刘思颂 黄薇 《电子质量》 2013年第3期15-19,共5页
该文叙述了一种基于FPGA(Field-Programmable Gate Array)和Quartus II开发平台来实现十字路口交通灯控制系统的方案。其中,各功能模块采用VHDL硬件语言来表达,在Quartus II平台上进行编译、仿真,并生成相应的顶层文件,然后下载到FPGA... 该文叙述了一种基于FPGA(Field-Programmable Gate Array)和Quartus II开发平台来实现十字路口交通灯控制系统的方案。其中,各功能模块采用VHDL硬件语言来表达,在Quartus II平台上进行编译、仿真,并生成相应的顶层文件,然后下载到FPGA芯片上,最后进行实验验证。实验结果表明,设计的交通灯控制系统基本可以实现预定的功能,具有一定的可行性。 展开更多
关键词 交通灯控制系统 VHDL fpga QUARTUSII
下载PDF
交通系统中信号灯的FPGA实现研究
12
作者 张昭 樊迪 张开生 《陕西科技大学学报(自然科学版)》 2013年第6期141-146,共6页
交通系统中车辆的控制一直是被广泛关注的话题,如何灵活控制信号灯,并且使车辆控制达到最优化的效果,这需要综合运用现代电子技术与通讯技术等手段来实现交通运输.FPGA系统由于其自身的并行结构,有着相对灵活和高速处理数据的优势,本设... 交通系统中车辆的控制一直是被广泛关注的话题,如何灵活控制信号灯,并且使车辆控制达到最优化的效果,这需要综合运用现代电子技术与通讯技术等手段来实现交通运输.FPGA系统由于其自身的并行结构,有着相对灵活和高速处理数据的优势,本设计通过用VHDL硬件电路描述语言编程,完成倒数计时器和状态转换等程序设计,实现对LED灯及7段数码管的直接控制,最后在Quartus II软件平台下进行编译和仿真,并通过Cyclon系列器件模拟实现,解决了使用单片机引脚有限的问题,使FPGA在信号灯控制中发挥了重要作用. 展开更多
关键词 VHDL fpga EDA 交通灯控制 Quartus II
下载PDF
基于FPGA的交通信号灯控制系统设计 被引量:2
13
作者 毕润东 高博 《电子器件》 CAS 北大核心 2016年第1期229-234,共6页
为了使交通信号灯系统针对车流量变化做出有效应对,设计了一种智能交通信号灯控制系统。该系统结合道路传感器反馈的车流信息,采用有限状态机实现了交通信号灯全感应自适应控制方案,得到最优信号灯转化和时间分配。该系统采用FPGA设计,... 为了使交通信号灯系统针对车流量变化做出有效应对,设计了一种智能交通信号灯控制系统。该系统结合道路传感器反馈的车流信息,采用有限状态机实现了交通信号灯全感应自适应控制方案,得到最优信号灯转化和时间分配。该系统采用FPGA设计,结合成都科华北路复杂交通路口车辆统计信息,对该系统进行仿真和验证。结果表明,该系统能减少17.550%的车辆平均延误时间,保障交通顺畅,提高了效率。 展开更多
关键词 智能控制系统 交通信号灯控制 FSM fpga VERILOG HDL
下载PDF
交通灯控制器设计:FPGA/CPLD实现小型数字系统的应用分析 被引量:1
14
作者 张文洁 叶紫 《电子工程师》 2001年第11期52-54,共3页
以交通灯控制器设计为例 ,系统地阐述了用 FPGA/CPLD实现数字电路的设计过程 ,展示了 FPGA/CPLD的强大功能和非凡特性。
关键词 数字系统 EPGA/CPLD 交通灯控制器 数字电路设计
下载PDF
基于FPGA的交通灯控制系统的仿真 被引量:4
15
作者 蓝运维 《现代电子技术》 2006年第9期125-126,129,共3页
交通灯控制系统通常要实现自动控制和手动控制其红绿灯的变化,基于FPGA设计的交通灯控制系统电路简单、可靠性好。本系统可控制2个路口的红、黄、绿三盏灯,让其按特定的规律进行变化。利用Max+PlusⅡ对设计结果进行仿真,发现系统工作性... 交通灯控制系统通常要实现自动控制和手动控制其红绿灯的变化,基于FPGA设计的交通灯控制系统电路简单、可靠性好。本系统可控制2个路口的红、黄、绿三盏灯,让其按特定的规律进行变化。利用Max+PlusⅡ对设计结果进行仿真,发现系统工作性能良好。据此设计而成的硬件电路,也实现了控制要求。 展开更多
关键词 交通灯控制系统 fpga Max+PlusⅡ 自动控制
下载PDF
基于FPGA的智能交通信号灯的设计 被引量:3
16
作者 黄明霞 许泽恩 +1 位作者 李如仁 张海强 《现代电子技术》 2021年第16期179-182,共4页
为了提高城市交叉口车辆的通行效率、缓解交通堵塞,解决交叉口在车流量相差很大时产生空等待的问题,文中提出一种基于FPGA的智能交通信号灯设计方法。以两相位十字交叉口为例,用Verilog HDL硬件描述语言在QuartusⅡ开发环境中由自顶向... 为了提高城市交叉口车辆的通行效率、缓解交通堵塞,解决交叉口在车流量相差很大时产生空等待的问题,文中提出一种基于FPGA的智能交通信号灯设计方法。以两相位十字交叉口为例,用Verilog HDL硬件描述语言在QuartusⅡ开发环境中由自顶向下的设计方法处理交通堵塞问题。智能交通系统包括分频模块、信号灯控制模块、译码显示模块;最后,利用QuartusⅡ13.0软件进行综合测试,并在ModelSim SE-64 10.4软件中对交通控制系统进行功能仿真;仿真结果表明,所提系统满足智能交通系统的设计要求,具有开发周期短、稳定性好、易维护等优点。 展开更多
关键词 智能交通灯 fpga Verilog HDL 模块编程 交通控制 功能仿真
下载PDF
基于FPGA的交通灯控制器的设计与实现
17
作者 金春花 《闽西职业技术学院学报》 2015年第3期113-117,共5页
以Quartus II软件为设计平台,采用Verilog HDL语言,运用自上而下的模块化设计思路完成了十字路口的交通灯控制器设计,最后通过编译、仿真并下载至FPGA芯片中验证了其设计的正确性。该控制器较传统交通灯控制电路具有外围电路少、开发周... 以Quartus II软件为设计平台,采用Verilog HDL语言,运用自上而下的模块化设计思路完成了十字路口的交通灯控制器设计,最后通过编译、仿真并下载至FPGA芯片中验证了其设计的正确性。该控制器较传统交通灯控制电路具有外围电路少、开发周期短、功能拓展灵活及带有紧急情况禁止所有车辆通行功能等优点。 展开更多
关键词 fpga VERILOG 交通灯控制器
下载PDF
在FPGA中实现交通灯控制系统的设计
18
作者 赵博玉 沈小林 《电子世界》 2014年第6期111-111,共1页
伴随着中国经济的快速发展,城市规模越来越大,机动车辆越来越多,给城市交通带来了巨大压力。而传统交通灯控制系统是通过采用中小规模集成电路,或者是采用单片机和PLC等控制芯片进行设计,从而存在控制精度低、电路设计复杂、系统维护困... 伴随着中国经济的快速发展,城市规模越来越大,机动车辆越来越多,给城市交通带来了巨大压力。而传统交通灯控制系统是通过采用中小规模集成电路,或者是采用单片机和PLC等控制芯片进行设计,从而存在控制精度低、电路设计复杂、系统维护困难、成本居高不下等问题。本文采用FPGA的cyclone II芯片,用Verilog HDL硬件描述语言,通过Quartus II软件进行综合仿真,对各功能模块进行设计,然后通过连线组合各底层模块来实现顶层模块设计。 展开更多
关键词 fpga 交通灯控制系统 Quartus II VERILOG HDL
下载PDF
基于微机电系统红外光源的长光程气体检测 被引量:6
19
作者 杜彬彬 张鹏 +2 位作者 高文宏 石云波 朱林泉 《光谱学与光谱分析》 SCIE EI CAS CSCD 北大核心 2014年第4期977-981,共5页
针对红外气体传感器对光源的要求,选用了一种宽波长、高调制频率、低功耗的小体积微机电系统(micro-electro-mechanic system,MEMS)红外光源作为辐射源,其各项性能均能很好的满足红外传感系统对于光源的要求。由于其面光源的朗伯辐射特... 针对红外气体传感器对光源的要求,选用了一种宽波长、高调制频率、低功耗的小体积微机电系统(micro-electro-mechanic system,MEMS)红外光源作为辐射源,其各项性能均能很好的满足红外传感系统对于光源的要求。由于其面光源的朗伯辐射特性,整形之后的红外光数值孔径仍然很大,采用传统的长光程气室结构很难实现长光程从而提高系统的检测灵敏度。本文结合双波长单光路的差分检测方法,设计了一种基于积分球特性的吸收气室,有效地解决了MEMS红外光源在高灵敏度气体检测应用中难于实现长光程的问题;并运用光在传输过程中光通量守恒的原理,推导了此积分球吸收气室的等效光程,解决了积分球气室等效光程计算的难题;同时采用FPGA主控芯片对MEMS红外光源进行高频调制并处理探测器的输出信号,使得外围电路的设计更加简单、灵活。设计中,使用直径为5 cm的积分球吸收气室便可实现166.7 cm的等效光程,研究结果显示系统可测得的最小甲烷浓度达0.001×10^(-6),极大地提高了红外检测系统的灵敏度。 展开更多
关键词 红外气体传感器 MEMS红外光源 长光程 积分球气室 fpga主控芯片
下载PDF
基于VHDL状态机的交通灯控制器设计 被引量:4
20
作者 李春雨 高原 《山西电子技术》 2010年第1期54-56,共3页
用VHDL语言设计交通灯控制器,并利用Quartus Ⅱ软件平台对设计系统进行仿真、编译,并下载到FPGA/CPLD可编程逻辑器件中。由于生成的是集成化的数字电路,没有传统设计中的接线问题,所以故障率低、可靠性高。由于采用了EDA技术,所以大大... 用VHDL语言设计交通灯控制器,并利用Quartus Ⅱ软件平台对设计系统进行仿真、编译,并下载到FPGA/CPLD可编程逻辑器件中。由于生成的是集成化的数字电路,没有传统设计中的接线问题,所以故障率低、可靠性高。由于采用了EDA技术,所以大大缩短了开发研制周期,提高了设计效率,使系统具有设计灵活,实现简单,性能稳定的特点。 展开更多
关键词 交通灯控制器 fpga VHDL
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部