期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
Displayport接口协议在FPGA上的实现
1
作者 郑斌 刘建宏 +1 位作者 龚旭阳 黄俊怀 《微电子学》 CAS CSCD 北大核心 2009年第4期508-510,515,共4页
Displayport是视频电子标准协会(VESA)针对数字高清视频音频应用推出的一种串行数字接口,其传输速率高达10.8 Gbp/s。基于Altera公司的StratixIIGX系列FPGA,实现了接口的接收部分协议,对高速串并转换在FPGA上的实现,分数分频时钟合成给... Displayport是视频电子标准协会(VESA)针对数字高清视频音频应用推出的一种串行数字接口,其传输速率高达10.8 Gbp/s。基于Altera公司的StratixIIGX系列FPGA,实现了接口的接收部分协议,对高速串并转换在FPGA上的实现,分数分频时钟合成给出了解决方案。 展开更多
关键词 DISPLAYPORT 接口协议 FPGA 频率合成
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部