期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
基于FPGA的数字频率计设计
1
作者 张聪 孟祥斌 《电脑知识与技术(过刊)》 2014年第7X期4911-4912,4930,共3页
该文运用VHDL硬件描述语言进行数字频率计设计,频率计主要通过闸门控制电路产生计数周期为1s,清零周期为0.5s,2s为一个周期的测量信号频率。并通过计数器记录频率值,最后通过数码显示电路显示被测信号频率值。该文设计一个6位频率计,可... 该文运用VHDL硬件描述语言进行数字频率计设计,频率计主要通过闸门控制电路产生计数周期为1s,清零周期为0.5s,2s为一个周期的测量信号频率。并通过计数器记录频率值,最后通过数码显示电路显示被测信号频率值。该文设计一个6位频率计,可以测量1~999999Hz的信号频率。 展开更多
关键词 闸门控制电路 计数器 寄存器 动态扫描显示电路
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部