期刊文献+
共找到26篇文章
< 1 2 >
每页显示 20 50 100
Ground stability of underground gateroad with 1 km burial depth: A case study from Xingdong coal mine, China 被引量:12
1
作者 张广超 何富连 +1 位作者 来永辉 贾红果 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1386-1398,共13页
本文采用数值模拟、案例分析、现场试验相结合的综合研究方法分析千米埋深煤矿巷道围岩稳定性。首先,在试验巷道进行了地应力监测与煤岩体力学性能测试;然后,通过FLAC^(3D)数值模拟软件分析了试验巷道围岩位移场、应力场、塑性区与锚杆... 本文采用数值模拟、案例分析、现场试验相结合的综合研究方法分析千米埋深煤矿巷道围岩稳定性。首先,在试验巷道进行了地应力监测与煤岩体力学性能测试;然后,通过FLAC^(3D)数值模拟软件分析了试验巷道围岩位移场、应力场、塑性区与锚杆受力特征。研究结果表明,软岩特性与高地应力是深部巷道失稳的主要因素,高应力引起的剪切滑移破坏是深部巷道围岩的主要破坏模式;不合理的支护方式,特别是锚杆索长度短且预紧力低、缺少高强二次支护、底板无支护等亦是巷道失稳的原因。基于上述研究,提出了集长锚杆索、可缩性环形支架、注浆加固于一体的联合加固措施,并通过现场监测验证了支护方案的合理性。本文研究成果为深入理解千米深井巷道破坏机制提供了借鉴。 展开更多
关键词 地面稳定性 案例 煤矿 中国 FLAC3D 岩石性质 不稳定性 高压力
下载PDF
Corrosion behaviors and mechanism of electroless Ni-Cu-P/n-TiN composite coating 被引量:8
2
作者 周宏明 胡雪仪 李荐 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1350-1357,共8页
本文以碱性柠檬酸为镀液,通过化学镀方法,制备了Ni–Cu–P/n–TiN复合镀层。分别采用XRD、SEM和EDS分析镀层的物相组成和组成形貌,采用电化学测试、失重试验、拉曼光谱仪来表征镀层的耐腐蚀性能。实验结果表明:Cu可细化Ni–Cu–P/n–Ti... 本文以碱性柠檬酸为镀液,通过化学镀方法,制备了Ni–Cu–P/n–TiN复合镀层。分别采用XRD、SEM和EDS分析镀层的物相组成和组成形貌,采用电化学测试、失重试验、拉曼光谱仪来表征镀层的耐腐蚀性能。实验结果表明:Cu可细化Ni–Cu–P/n–TiN胞状组织并减少其结构中的孔隙率,当Cu含量在7.3 wt%~24.8 wt%时,复合镀层Ni–Cu–P/n–TiN的自腐蚀电流从10.80μA下降至4.34μA;而TiN的掺杂会使复合镀层Ni–Cu–P/n–TiN的耐腐蚀性能降低。失重试验表明,当Cu含量为24.8wt%时,镀层Ni–Cu–P的耐腐蚀性能较好。通过拉曼曲线分析可知,复合镀层中Cu表现出优先腐蚀机制,形成了Cu(Ⅱ)_3(PO_4)(OH)_3、Cu(OH)_2和CuO等Cu金属的腐蚀产物,为镍合金提供了很好的阴极保护作用。 展开更多
关键词 腐蚀行为 涂层 合成 拉曼光谱学 扫描电子显微镜 机制 腐蚀电流密度 重量损失
下载PDF
Edge and texture detection of metal image under high temperature and dynamic solidification condition 被引量:6
3
作者 陈祖国 李勇刚 +2 位作者 陈晓方 阳春华 桂卫华 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1501-1512,共12页
锌锭铸造是一个高温、高粉尘和动态凝固的复杂过程。为了准确地检测该条件下金属图像的边缘和纹理特征,提出了一种基于梯度熵和自适应四阶立方卷积插值的亚像素检测算法(GEAF-CCI)。该方法主要包含3个过程:首先,采用梯度算子从灰度图像... 锌锭铸造是一个高温、高粉尘和动态凝固的复杂过程。为了准确地检测该条件下金属图像的边缘和纹理特征,提出了一种基于梯度熵和自适应四阶立方卷积插值的亚像素检测算法(GEAF-CCI)。该方法主要包含3个过程:首先,采用梯度算子从灰度图像中生成梯度图像;然后,采用基于最大局部梯度熵的动态阈值(DTMLGE)算法去区分梯度图像中的边缘和纹理的像素;最后,使用AF-CCI算法根据目标边缘和纹理在不同方向的变化差异对其进行插值计算。实验结果表明,该算法可以减少细节模糊和边缘锯齿现象的产生,提高边缘的定位精度和降低误检率和失检率。 展开更多
关键词 高温度 质地 图象 金属 复杂过程 亚象素 坡度 算法
下载PDF
Leaching of vanadium and chromium from converter vanadium slag intensified with surface wettability 被引量:7
4
作者 杨其文 谢昭明 +2 位作者 彭浩 刘作华 陶长元 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1317-1325,共9页
介绍一种从转炉钒渣中提取钒和铬的表面浸润强化浸出技术,考察硫酸浓度、二氧化锰(MnO_2)的添加量、液固比、浸出时间、浸出温度以及十二烷基硫酸钠(SDS)的添加量对钒和铬浸出率的影响。结果表明:在浸出过程中添加MnO_2和SDS,钒和铬的... 介绍一种从转炉钒渣中提取钒和铬的表面浸润强化浸出技术,考察硫酸浓度、二氧化锰(MnO_2)的添加量、液固比、浸出时间、浸出温度以及十二烷基硫酸钠(SDS)的添加量对钒和铬浸出率的影响。结果表明:在浸出过程中添加MnO_2和SDS,钒和铬的浸出率比未添加时分别高33.46%和20.02%,在硫酸浓度40 wt%、反应时间2.0 h、液固比5:1 mL/g、反应温度90°C、MnO_2添加量为10.0 wt%以及SDS的添加量0.25 wt%时,钒和铬的浸出率分别为68.93%和30.74%。浸出过程反应机理表明,MnO_2协同H^+离子可以氧化低价钒和铬,SDS能改变溶液表面化学行为,降低溶液表面张力,有利于MnO_2的氧化。 展开更多
关键词 变换器 沥滤 表面 炉渣 MNO2 最佳条件
下载PDF
Effect of ultrasonic field on microstructure and mechanical properties of as-cast 7085 aluminum alloy 被引量:6
5
作者 邹浩 潘清林 +4 位作者 史运嘉 陈婧 向浩 李瑞师 李航 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1285-1294,共10页
本文采用金相显微分析(OM)、维氏硬度测试、扫描电子显微分析(SEM)、拉伸性能测试和电子探针分析(EMAP)等方法研究熔铸过程中导入超声场对7085铝合金铸锭的微观组织、力学性能的影响。结果表明,在超声处理(UST)的作用下,铝合金的晶粒显... 本文采用金相显微分析(OM)、维氏硬度测试、扫描电子显微分析(SEM)、拉伸性能测试和电子探针分析(EMAP)等方法研究熔铸过程中导入超声场对7085铝合金铸锭的微观组织、力学性能的影响。结果表明,在超声处理(UST)的作用下,铝合金的晶粒显著细化,同时第二相也更加分散。从EPMA的结果中观察到,经过超声处理合金中的Al、Zn、Mg和Cu元素分布更加均匀。铝合金的力学性能也极大增强。从拉伸断口图中得出,常规铸锭试样的断裂方式是脆性断裂,而超声铸锭试样是以准解理的方式断裂的。 展开更多
关键词 机械性质 微观结构 铝合金 超声 扫描电子显微镜 演员 显微镜观察 微量分析
下载PDF
Numerical investigation on permeability evolution behavior of rock by an improved flow-coupling algorithm in particle flow code 被引量:7
6
作者 曾卫 杨圣奇 +1 位作者 田文岭 文凯 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1367-1385,共19页
岩石渗透性的意义重大,构造应力和人类工程活动会对渗透性产生巨大影响。岩石内部孔压与流速分布情况的全面监测对阐明渗透性的演化机制至关重要,其在试验中难以实施,但在数值模拟中可容易实现。因此,本文采用一种离散元方法—颗粒流程... 岩石渗透性的意义重大,构造应力和人类工程活动会对渗透性产生巨大影响。岩石内部孔压与流速分布情况的全面监测对阐明渗透性的演化机制至关重要,其在试验中难以实施,但在数值模拟中可容易实现。因此,本文采用一种离散元方法—颗粒流程序(Particle Flow Code,简称PFC)开展岩石材料渗透性行为的模拟研究。针对PFC中原流–固耦合算法的不足,对其进行改进,使改进后的算法更能体现流体在岩石裂隙中的流动优势。分别采用原算法与改进算法对三轴压缩过程中的渗透性演化进行数值模拟,对比结果表明改进算法能更好地反映试验现象。本文利用改进流–固耦合算法,进一步分析了渗流过程中的孔压和流速分布的演化情况。结果表明,孔压和流速都优先通过裂隙传递而非岩石基质。根据运移流体的能力将裂隙划分为三类:Ⅰ)贯穿进口端和出口端的裂隙;Ⅱ)仅与进口端连接的裂隙;Ⅲ)仅与出口端连接的裂隙。Ⅰ)类裂隙始终是最主要的流速和孔压的传递通道。Ⅱ)类裂隙中孔压首先增大并逐渐趋于稳定,而流速首先增大,当流体运移到裂隙终端后流速减小甚至降低为零。在Ⅲ)类裂隙中,无明显的流体运移或孔压集中。 展开更多
关键词 渗透行为 岩石质量 进化机制 联合算法 流动率 代码 粒子 岩石破裂
下载PDF
Energy and exergy recovery from exhaust hot water using organic Rankine cycle and a retrofitted configuration 被引量:8
7
作者 孙文强 岳晓宇 +1 位作者 王彦辉 蔡九菊 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1464-1474,共11页
工业过程中广泛存在着余热水,依附于余热水的热量经常被忽略而排放到环境中,造成了热量的散失和环境的污染。有机朗肯循环是一项从低温热载体中回收热量的技术,本文利用有机朗肯循环回收依附于余热水的热量。为了考察余热水的热回收和(... 工业过程中广泛存在着余热水,依附于余热水的热量经常被忽略而排放到环境中,造成了热量的散失和环境的污染。有机朗肯循环是一项从低温热载体中回收热量的技术,本文利用有机朗肯循环回收依附于余热水的热量。为了考察余热水的热回收和(火用)回收效果,建立数学模型并进行参数研究。以R245fa、R113和R123为工质,模拟余热水驱动的有机朗肯循环系统的热效率和(火用)效率。结果表明,余热水温度和蒸发温度对余热水驱动的有机朗肯循环系统的热效率和(火用)效率影响较大。在一定的余热水参数下,有一个对应于最高(火用)效率的最佳蒸发温度。为了对低温余热水进行深度回收,建立了一个联合闪蒸与有机朗肯循环的改进结构。对于本研究中的120°C和0.2 MPa的余热水,当闪蒸压力为0.088 MPa时,闪蒸–有机朗肯联合循环系统的最大(火用)效率为45.91%。余热水驱动的闪蒸–有机朗肯联合循环系统的(火用)效率优于纯闪蒸系统和简单朗肯循环系统。 展开更多
关键词 精力 器官 热水 配置 翻新 周期 蒸发温度 EHW
下载PDF
Effect of ageing temperature on precipitation of A1-Cu-Li-Mn-Zr alloy 被引量:4
8
作者 邓燕君 黄光杰 +2 位作者 曹玲飞 吴晓东 黄利 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1340-1349,共10页
本文采用显微硬度计和透射电子显微镜(TEM)表征手段,研究不同时效温度(120、160、200°C)对Al–Cu–Li–Mn–Zr合金析出行为的影响。通过分析不同时效温度下的时效硬度曲线可以得出,随着时效温度的升高,析出相的析出速度加快。此外,... 本文采用显微硬度计和透射电子显微镜(TEM)表征手段,研究不同时效温度(120、160、200°C)对Al–Cu–Li–Mn–Zr合金析出行为的影响。通过分析不同时效温度下的时效硬度曲线可以得出,随着时效温度的升高,析出相的析出速度加快。此外,由TEM表征结果可知,当时效温度为120°C时,峰值态样品的析出相主要为δ'相(Al_3Li)、GP区/θ'相(Al_2Cu)和χ相(Al_5Cu_6Li_2),其析出量明显高于T_1相;当时效温度为160°C和200°C时,样品峰值态的析出相主要为T_1相,并有较少的δ'相和GP区/θ'相,而χ相几乎不存在。对T_1相进行定量统计可知,与时效温度为160°C的峰值态样品相比,时效温度为200°C时峰值态样品的主要析出相T_1相的直径更大,且面积分数更小,文本对这些现象的产生原因进行了阐述和讨论。 展开更多
关键词 温度 降水 合金 电子显微镜 水动力学 定量分析 血小板 山峰
下载PDF
Backfill support's backfill and operation properties and evaluation 被引量:4
9
作者 张强 杜长龙 +3 位作者 张吉雄 王佳奇 李猛 齐文跃 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1524-1534,共11页
充填采煤液压支架是充填采煤技术实现充采一体化的核心设备,其性能的可靠性是实现致密充填的关键。为评价充填采煤液压支架的充填性能,本文提出充填运转特性的概念,并从结构特性、支护特性、夯实特性、力学响应特性及地质适应特性5个方... 充填采煤液压支架是充填采煤技术实现充采一体化的核心设备,其性能的可靠性是实现致密充填的关键。为评价充填采煤液压支架的充填性能,本文提出充填运转特性的概念,并从结构特性、支护特性、夯实特性、力学响应特性及地质适应特性5个方面阐述其内涵,具体通过支护强度、夯实空顶距等14个指标综合体现。选择其中7个独立的评价指标,采用多指标综合评价方法,构建了基于充填运转特性的充填采煤液压支架设计方案评价体系,给出了评价的方法与流程,以及充填运转特性工程控制措施。运用该体系评价了翟镇矿ZC5200/14.5/30型充填采煤液压支架的11个优化方案,评选出的10#最佳方案在夯实离顶距等充填运转特性指标上具有明显优势,验证了评价体系的合理性。基于此构建了"初始方案设计、设计方案优化、评价指标优选、优化方案评价、运转特性控制"的充填采煤液压支架研究框架,为深入研究充填采煤液压支架控顶性能提供借鉴。 展开更多
关键词 评估方法 装填 性质 操作 设计计划 评估系统 索引 可靠性
下载PDF
Surface property variations in flotation performance of calcite particles under different grinding patterns 被引量:6
10
作者 许鹏云 李晶 +4 位作者 胡聪 陈洲 叶红齐 袁中权 蔡文举 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1306-1316,共11页
基于料层粉碎工作方式,高压辊磨制备的矿物颗粒具有区别于其他磨矿方式制备颗粒的表面特性,对矿物浮选会产生较大的影响。本文研究了高压辊磨、颚式破碎、干式球磨、湿式球磨及湿式棒磨下方解石纯矿物颗粒的浮选行为,并通过比表面积、... 基于料层粉碎工作方式,高压辊磨制备的矿物颗粒具有区别于其他磨矿方式制备颗粒的表面特性,对矿物浮选会产生较大的影响。本文研究了高压辊磨、颚式破碎、干式球磨、湿式球磨及湿式棒磨下方解石纯矿物颗粒的浮选行为,并通过比表面积、粒径分布、原子力学显微镜、表面电子能谱及动电位等手段表征了不同磨矿方式制备颗粒的表面特性以揭示其浮选机理。结果表明:当磨矿细度较低时,相比于其他磨矿方式高压辊磨制备的方解石颗粒在油酸钠和十二胺体系均能够获得更好的浮选指标;由磨矿方式导致的矿物颗粒比表面积、粒度分布、表面粗糙度、Fe^(3+)沾染物、键合能及Zeta电位差异是其浮选行为差异化的主要原因。 展开更多
关键词 粒子尺寸 表面性质 方解石 磨擦 性质变化 性能 表面粗糙 矿物质
下载PDF
Mechanical properties and energy mechanism of saturated sandstones 被引量:5
11
作者 牛双建 葛双双 +3 位作者 杨大方 党元恒 俞缙 张盛 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1447-1463,共17页
本文研究了饱水对粗砂岩峰后力学特性及能量机制的影响。为了获得这些重要的属性,采用RMT-150B型岩石力学试验系统对自然与饱水状态的粗砂岩岩样进行峰后循环加、卸载试验。在对这些试验进行成功处理后,对比研究自然与饱水状态下粗砂岩... 本文研究了饱水对粗砂岩峰后力学特性及能量机制的影响。为了获得这些重要的属性,采用RMT-150B型岩石力学试验系统对自然与饱水状态的粗砂岩岩样进行峰后循环加、卸载试验。在对这些试验进行成功处理后,对比研究自然与饱水状态下粗砂岩的应力–应变、强度、变形、损伤及劣化力学特性和波速、能量特性。试验结果表明:饱水对峰后破裂粗砂岩单轴循环加、卸载强度和弹性模量具有明显的弱化作用;随着峰后循环加、卸载周期的增加,自然状态下,峰值轴向、侧向和体积应变增幅均近似为等速率增加,饱水状态下,轴向峰值应变增幅近似等速率增加,而侧向、体积应变增幅均显著增加;饱水后粗砂岩试样峰值侧向应变、体积应变相对自然状态下的增幅与峰后循环加、卸载周期之间均符合线性函数递增关系;在自然与饱水状态下,各岩样的损伤因子(塑性剪切应变)随着峰后循环加、卸载周期的增加而逐渐增加,各岩样裂隙损伤应力随其塑性剪切应变的增加先期快速衰减,之后裂隙损伤应力近似趋于某一恒定值;随着峰后循环加、卸载周期的增加,岩样承载结构累计损伤程度不断提高,相应地其力学参数不断劣化衰减;自然与饱水状态岩样在峰后循环加、卸载过程中的波速均随着塑性剪切应变的增加而减小,两者之间均符合带有常数项的指数函数关系。饱水降低了岩样的总吸收能量、耗散能及弹性应变能。 展开更多
关键词 机械性质 浸透 精力 沙岩 自然状态 岩石样品 机制 损坏因素
下载PDF
Hydration film measurement on mica and coal surfaces using atomic force microscopy and interfacial interactions 被引量:3
12
作者 邢耀文 桂夏辉 曹亦俊 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1295-1305,共11页
颗粒表面水化膜在浮选颗粒气泡粘附过程中扮演着重要作用。借助原子力显微镜力曲线中名义恒定区的弯曲现象完成对天然亲水性云母和疏水性煤片表面水化膜厚度的测试。同时,对表面能及固液界面自由能进行计算揭示了水化膜的形成机制。结... 颗粒表面水化膜在浮选颗粒气泡粘附过程中扮演着重要作用。借助原子力显微镜力曲线中名义恒定区的弯曲现象完成对天然亲水性云母和疏水性煤片表面水化膜厚度的测试。同时,对表面能及固液界面自由能进行计算揭示了水化膜的形成机制。结果发现:云母和煤表面的水化膜厚度和结构存在明显的差异,云母表面的水化膜厚度为22.5 nm,而在煤表面并未检测到水化膜的存在。云母及煤与水分子间的范德华和极性作用均为吸引,但云母与水分子间的极性作用能(-87.36 mN/m)远大于煤水间的(-32.89 mN/m),因此,直接导致了更厚而坚固的水化膜。进一步发现煤–水气泡体系的界面作用自由能(-56.30 mN/m)显著大于云母体系的,极性吸引力足以克服排斥性范德华力和颗粒气泡粘附能垒。 展开更多
关键词 相互作用 直接测量 显微镜 原子力 水泡 云母 电影 用煤
下载PDF
Evaluation on prediction abilities of constitutive models considering FEA application 被引量:2
13
作者 温彤 刘澜涛 +2 位作者 黄倩 陈霞 方继钊 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1251-1262,共12页
本构模型在金属塑性成形数值模拟中扮演着重要角色,然而其对计算的影响仍不明确。本文基于Al 7050和Ti–6Al–4V合金的等温压缩实验应力–应变曲线,对Johnson-Cook(JC)以及Arrhenius-type(A-type)双曲正弦模型进行了拟合并得到表达式;... 本构模型在金属塑性成形数值模拟中扮演着重要角色,然而其对计算的影响仍不明确。本文基于Al 7050和Ti–6Al–4V合金的等温压缩实验应力–应变曲线,对Johnson-Cook(JC)以及Arrhenius-type(A-type)双曲正弦模型进行了拟合并得到表达式;将应用2个方程直接预测的流动应力与实验结果进行比较,同时利用刚塑性有限元数值方法对热压缩过程进行模拟,发现对于实验数据的直接预测,A-type模型的预测精度高于JC模型的,对拟合数据以外的实验数据也一样。从数值模拟结果来看,利用A-type模型的计算结果与实验更加吻合,但吻合程度受拟合所采用参考参数的影响;从模拟得到的整体变形和应变分布来看,利用2种模型的结果差别不大。 展开更多
关键词 正弦模型 预言 组成 FEA ARRHENIUS TI-6A1-4V 评估 能力
下载PDF
Effect of heat input on microstructure and mechanical properties of butt-welded dissimilar magnesium alloys joint 被引量:2
14
作者 杨方洲 周杰 熊逸博 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1358-1366,共9页
通过观察微观结构、硬度检测和力学性能检测,研究热输入对AZ31/MB3镁合金钨极氩弧焊接头微观组织和力学性能的影响。实验结果表明:随着热输入的增加,焊缝宽度明显增加,同时接头熔焊区和热影响区的晶粒也出现粗化。镁合金对焊接头的力学... 通过观察微观结构、硬度检测和力学性能检测,研究热输入对AZ31/MB3镁合金钨极氩弧焊接头微观组织和力学性能的影响。实验结果表明:随着热输入的增加,焊缝宽度明显增加,同时接头熔焊区和热影响区的晶粒也出现粗化。镁合金对焊接头的力学性能也随热输入的增加而增强,当焊接电流为90 A时,焊件达到最高连接强度242 MPa。然而当焊接电流过大时,焊接孔洞出现,导致接头连接强度下降。综上所述,可以通过钨极氩弧焊工艺获得可靠的镁合金接头。 展开更多
关键词 机械性质 微观结构 焊接缝 热输入 镁合金 靶子 关节 测试调查
下载PDF
Oil-gas reservoir lithofacies stochastic modeling based on one- to three-dimensional Markov chains 被引量:2
15
作者 王志忠 黄翔 梁玉汝 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1399-1408,共10页
随机建模方法已经被广泛地应用于油气储层岩相的模拟中。然而,基于马尔科夫链的模拟技术仍然处于发展和完善中。这主要是由于在合理定义多维马尔科夫链的条件概率和水平方向上的转移概率时存在困难。本文着力解决这些问题。首先,基于完... 随机建模方法已经被广泛地应用于油气储层岩相的模拟中。然而,基于马尔科夫链的模拟技术仍然处于发展和完善中。这主要是由于在合理定义多维马尔科夫链的条件概率和水平方向上的转移概率时存在困难。本文着力解决这些问题。首先,基于完全独立假设和条件独立假设推导出了多维马尔科夫链条件概率的计算公式,并指出因为基于条件独立假设的多维马尔科夫链解决了小类过度小估计问题,所以更为合理。然后,给出了计算转移概率的方法:垂直方向上的转移概率可以通过统计井数据中的转移频数获取,水平方向上的转移概率则基于井数据和延伸率运用Walther定律估算得到。最后,运用提出的模型对中国塔河油田储层岩相的分布进行随机模拟。结果表明:与完全独立假设相比,基于条件独立假设的随机模拟结果更接近真实的岩相比例并能更好地重现岩相的空间特征。 展开更多
关键词 建模技术 岩相 水库 随机 气体 三维 模型基
下载PDF
基于朋辈AdaBoost分类器级联的行人检测 被引量:4
16
作者 傅红普 邹北骥 +3 位作者 朱承璋 戴玉兰 姜灵子 昌喆 《Journal of Central South University》 SCIE EI CAS CSCD 2020年第8期2269-2279,共11页
针对训练数据不平衡和类内差异,本文提出了使用等同复杂度AdaBoost分类器的级联来检测行人,称为朋辈级联。利用难负样本挖掘操作,贪婪训练一系列的AdaBoost阶段分类器。朋辈级联不限制分类器的复杂度,从而得以利用更多负训练样本。并且... 针对训练数据不平衡和类内差异,本文提出了使用等同复杂度AdaBoost分类器的级联来检测行人,称为朋辈级联。利用难负样本挖掘操作,贪婪训练一系列的AdaBoost阶段分类器。朋辈级联不限制分类器的复杂度,从而得以利用更多负训练样本。并且,本文级联成为了强朋辈分类器的集成,从而能在一定程度上应对行人的类内差异。为就地训练出高检测率的AdaBoost分类器,提出提纯操作来丢弃一些难负样本。提纯操作替代以往直接降低分类器阈值的操作,保留了每个分类器的训练优化性能。实验结果表明,在Inria和Caltech pedestrian benchmark两个公开行人数据集,使用聚合通道特征(ACF)朋辈级联的检测性能比现有逐渐复杂分类器级联的检测性能好很多。使用RPN提取的深度学习特征时,朋辈级联的性能明显更好。 展开更多
关键词 朋辈分类器 难负样本提纯 行人检测 级联
下载PDF
Acoustic pressure simulation and experiment design in seafloor mining environment 被引量:2
17
作者 赵海鸣 壬艳丽 +2 位作者 韩奉林 姬雅倩 罗柏文 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1409-1417,共9页
针对海底采矿环境下,悬浮泥沙对换能器声场分布影响严重的问题,基于KZK(Khokhlov–Zabolotkaya–Kuznetsov)方程,提出了混浊水域中声场分析方法。首先,对清洁水域声吸收和悬浮泥沙引起的粘滞声吸收进行分析,并由此建立采矿环境下声衰减... 针对海底采矿环境下,悬浮泥沙对换能器声场分布影响严重的问题,基于KZK(Khokhlov–Zabolotkaya–Kuznetsov)方程,提出了混浊水域中声场分析方法。首先,对清洁水域声吸收和悬浮泥沙引起的粘滞声吸收进行分析,并由此建立采矿环境下声衰减系数随频率变化的规律曲线。然后,利用MATLAB,通过KZK方程的频域求解方法,对清洁水域和混浊水域中换能器轴向声场进行数值计算。仿真结果表明,悬浮泥沙对近场距离内轴向声压的影响不大,而随着距离的增大,换能器轴向声压幅值很快衰减,悬浮泥沙使远场区声压幅值严重降低。模拟采矿实验测量频率为200 kHz,波束角为7.5°换能器的轴向声压分布,结果表明,仿真结果与实验结果的一致性较好,KZK方程可以有效描述混浊水域中的声场分布。 展开更多
关键词 实验设计 全压力 采矿 模拟 声学 环境 MATLAB 变换器
下载PDF
Optimized algorithm in mine production planning, mined material destination, and ultimate pit limit 被引量:1
18
作者 Rahimi ESMAEIL 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1475-1488,共14页
在采矿工程经济中,矿山生产计划、开采材料目的地和最终开采极限(UPL)之间存在着整体的联系,而实际信息及其在采矿经济中产生的效应会加强这种联系,因此,建立优化算法来减少经济计算的误差是非常重要的。本文提出一种考虑重要设计参数... 在采矿工程经济中,矿山生产计划、开采材料目的地和最终开采极限(UPL)之间存在着整体的联系,而实际信息及其在采矿经济中产生的效应会加强这种联系,因此,建立优化算法来减少经济计算的误差是非常重要的。本文提出一种考虑重要设计参数和经济性的逻辑数学算法,该算法在不同的设计成分之间建立了一个优化的重复过程,并使其将矿山经济参数最大化,产生最大的矿石量和最高的安全度。该模型在截止品位、矿井设计和矿山规划之间建立了新的关系,并通过计算目标提供了最大的效益。结果表明:与以往的生产设计和生产方法相比,矿山生产的净现值提高了3%。 展开更多
关键词 优化算法 生产计划 目的地 材料 工程经济 设计参数 经济计算 数学算法
下载PDF
RFD-Rao and RFD-Wald tests for distributed targets with range walking effect 被引量:1
19
作者 王宇 曹运合 +1 位作者 苏洪涛 王胜华 《Journal of Central South University》 SCIE EI CAS CSCD 2018年第6期1437-1446,共10页
提出两种新型自适应分布式目标检测器:距离频域Rao(RFD-Rao)和距离频域Wald(RFD-Wald)检测器。该方法考虑相参处理间隔(CPI)内部分均匀干扰环境和目标距离走动效应的影响,分析检测器的渐近性能,并给出不同杂波协方差矩阵和功率水平下的... 提出两种新型自适应分布式目标检测器:距离频域Rao(RFD-Rao)和距离频域Wald(RFD-Wald)检测器。该方法考虑相参处理间隔(CPI)内部分均匀干扰环境和目标距离走动效应的影响,分析检测器的渐近性能,并给出不同杂波协方差矩阵和功率水平下的恒虚警率(CFAR)特性分析。将本文所提出的自适应检测器的性能通过蒙特卡罗试验进行了验证,仿真结果证明所提出的检测算法与现有的类似检测器相比的有效性。 展开更多
关键词 分布式 测试 Monte-Carlo 申请方法 矩阵和 协变性 频率 表演
下载PDF
高频交变磁场作用下AISI 1045钢快速加热过程奥氏体相变的动力学建模 被引量:1
20
作者 高恺 郭健忠 秦训鹏 《Journal of Central South University》 SCIE EI CAS CSCD 2020年第5期1543-1556,共14页
为了研究快速加热过程中交变磁场对奥氏体相变过程的影响,建立了AISI 1045钢的点式连续移动感应淬火过程的奥氏体相变动力学模型。结果表明,当加热速率最低时,交变磁场对奥氏体相变分数的影响最大(约3%)。相对较低的磁通密度对奥氏体转... 为了研究快速加热过程中交变磁场对奥氏体相变过程的影响,建立了AISI 1045钢的点式连续移动感应淬火过程的奥氏体相变动力学模型。结果表明,当加热速率最低时,交变磁场对奥氏体相变分数的影响最大(约3%)。相对较低的磁通密度对奥氏体转变过程仍有一定的影响。在任何情况下凹面结构都能减弱交变磁场对表面的影响范围,且当感应器进给路径为纵向时,影响范围最小。当感应器进给路径为纵向时,凸面结构的交变磁场在深度方向的影响范围最小。当感应器进给路径为横向时,表面过渡区的奥氏体分布比纵向运动时更均匀。当感应器进给路径为纵向时,深度过渡区的奥氏体分布比横向运动时更均匀。最终的模拟结果与实验结果一致,这表明所建立的点式连续移动感应淬火奥氏体相变动力学模型是有效的。 展开更多
关键词 交变磁场 奥氏体 加热速度 进给路径 曲率 AISI 1045钢
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部